From 5f1f5e6d6e4e2c9b900dc766b8a46b84e84edb95 Mon Sep 17 00:00:00 2001 From: Thomas Dehaeze Date: Fri, 19 Feb 2021 11:20:05 +0100 Subject: [PATCH] modify tables --- short-stroke-metrology.html | 76 ++++++++++++++++++++---------------- short-stroke-metrology.org | 18 +++++---- short-stroke-metrology.pdf | Bin 270442 -> 271873 bytes 3 files changed, 53 insertions(+), 41 deletions(-) diff --git a/short-stroke-metrology.html b/short-stroke-metrology.html index ffcefd3..9801952 100644 --- a/short-stroke-metrology.html +++ b/short-stroke-metrology.html @@ -3,7 +3,7 @@ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd"> - + NASS - Short Stroke Metrology @@ -39,10 +39,10 @@

Table of Contents

@@ -58,22 +58,22 @@ The goal of this document is to analyze the feasibility of a short stroke metrol It is structured as follow:

-
-

1 Measurement Principle

+
+

1 Measurement Principle

- +

Here are the defined wanted displacement of the reflector that should be inside the measurement stroke of the metrology system. -The defined translations and rotations are defined with respect to the frame shown in Figure 1. +The defined translations and rotations are defined with respect to the frame shown in Figure 1.

d_x = 0;     % Wanted translation of the reflector in the x direction [m]
@@ -85,14 +85,14 @@ R_y = 0;     % Wanted rotation of the reflector along
 
-
+

short_stroke_metrology_concept.png

Figure 1: Short Stroke Metrology - Concept. Blue interferometers are used to measure the X-Y-Z motion of the reflector. Red interferometers are used to measure tilt motion of the reflector.

-Here are the approximate dimensions shown in Figure 1: +Here are the approximate dimensions shown in Figure 1:

  • \(d_0 \approx 10\,[mm]\)
  • @@ -109,15 +109,15 @@ R = 250e-3; % [m]
-
-

2 X-Y-Z measurement

+
+

2 X-Y-Z measurement

- +

-The geometry for the interferometers measuring translations is shown in Figure 2: +The geometry for the interferometers measuring translations is shown in Figure 2:

  • \(R = 250\,[mm]\)
  • @@ -127,7 +127,7 @@ The geometry for the interferometers measuring translations is shown in Figure <
-
+

translation_interferometers.png

Figure 2: Interferometers that are measuring translation

@@ -147,7 +147,7 @@ And we obtain: \[ \theta \approx 8.0\,[mrad] \]

- +
@@ -157,8 +157,8 @@ And we obtain: - - + + @@ -176,16 +176,21 @@ And we obtain: + + + + +
Table 1: Specifications for the translation interferometers
SpecificationValueSpecificationValue
Distance to target \(10\,[mm]\)
TargetConvex with \(R = 250\,[mm]\)
-
-

3 Tilt measurement

+
+

3 Tilt measurement

-
-

4 Conclusion

+
+

4 Conclusion

Author: Dehaeze Thomas

-

Created: 2021-02-19 ven. 11:13

+

Created: 2021-02-19 ven. 11:20

diff --git a/short-stroke-metrology.org b/short-stroke-metrology.org index 7358d7a..c00e494 100644 --- a/short-stroke-metrology.org +++ b/short-stroke-metrology.org @@ -122,13 +122,14 @@ sprintf('\\[ \\theta \\approx %.1f\\,[mrad] \\]', 1e3*(2*d_y/R)) #+name: tab:spec_translation #+caption: Specifications for the translation interferometers -#+attr_latex: :environment tabularx :width 0.4\linewidth :align lc +#+attr_latex: :environment tabularx :width 0.6\linewidth :align Xc #+attr_latex: :center t :booktabs t :float t -| Specification | Value | -|--------------------+-----------------| -| Axial Acceptance | $\pm 1\,[mm]$ | -| Angular Acceptance | $\pm 8\,[mrad]$ | -| Distance to target | $10\,[mm]$ | +| *Specification* | *Value* | +|--------------------+-----------------------------| +| Axial Acceptance | $\pm 1\,[mm]$ | +| Angular Acceptance | $\pm 8\,[mrad]$ | +| Distance to target | $10\,[mm]$ | +| Target | Convex with $R = 250\,[mm]$ | * Tilt measurement <> @@ -158,12 +159,13 @@ The geometry for the interferometers measuring rotations is shown in Figure [[fi #+name: tab:spec_rotation #+caption: Specifications for the rotation interferometers -#+attr_latex: :environment tabularx :width 0.4\linewidth :align lc +#+attr_latex: :environment tabularx :width 0.4\linewidth :align Xc #+attr_latex: :center t :booktabs t :float t -| Specification | Value | +| *Specification* | *Value* | |--------------------+------------------| | Axial Acceptance | $\pm 2.5\,[mm]$ | | Angular Acceptance | $\pm 10\,[mrad]$ | | Distance to target | $10\,[mm]$ | +| Target | Flat mirror | * Conclusion diff --git a/short-stroke-metrology.pdf b/short-stroke-metrology.pdf index 98e0d423b673a46169dc64738f966e9ff9bb94e0..02dec5348cf7eab2ccce5ab75dbba8ff6a6ab5c4 100644 GIT binary patch delta 43493 zcmV(%pi-c zV#+%ss1O26&V!%%wS(=PXDioiT-QGMUn09|+VHsBy|3z=nXGf|m217FYq!BPoLkka zxS=iU?Yiu$rryS#(Qtd+co%o|;IMyuTCE`L5HjQkaUxi?5q>q&7>?Q%I`rz}yBRv` z{V_~Oc|&r?6Cjk`1A+={g+f0C@4gUxnvd_V|F_>o0L;1yA?Z=i^r2@D`v>;&M)iN_!Fmx#(f&>^=$qJ#q#UYknGX zGFUw%^OZ6%O1=Ve=EsnGIpuzz&%Vt3c7iBk0`!PTBsj&)DzwyrdPY;n83ceOO1!hzdxkd-F4Xo4KCZL?jsQgi#DfO=>?o- zLCZ&(PFJX;1VJ_ySR$|jRUOZkvRlk!%oDx_fu>fHzm;!$~i5*QCOK=Hj!ss_Dar&f!vBZfA zQ?21si)S~$m_wWh3@$ln7n9Oc=iAA#61Y! zm4ufZGF;*uvy3xWXz5!b!ah<+#gz?ZWrwvMZ}P zRTF<|!6OXwf>Laye4U!;osmhL2x*zY%W=X5!&(g<$d76(T#|s1Em;Y3V;)f{Y%;Of+zMbZijDtsVqjE zrHW%^En*6gQhZ8UZS_D}1{!Cy9@BQBf);-(uwY)+0QMm%&c;bWz2J6evp;)`*0=8a zW*Pzmgt#=*z{FlRB?BAx$u2NKfWWb#PVTE9MLaw4$Su5jF5FF5)(e-KTpErs>^~e` zldK8`(?&w$kNWbYUfr(Cc1TQTi$|0413*0ICSzW!+n&fxVy!2d^S0WCL_#Yxu@hU$ zcICPxgcbx@{=Dn!_d!!kWsG?@RdeG9w~w%(#8Q#{*7TkFPp`fAQHZPVI;p%8bH)D` z|6!8tNv*})=;!DLdF-F`2u}vtQ|KmZV4#{1i#()hUjY`lm}0XpE;9@QIWm)B1Spe? zIt_oy^}Zhl8o)u~G(j(IgkCHk7>Sk%P^3fAO`Tt#SuRPD%qo(N0!2?tiL>+gX6BnA zL0d%7`vsYuZxj{D(j}vKRw9JbpPPiq}E=%{1 zb+*gOCca&M9Q0jWGlML)iYcdyphO5PIro2RmiHN4r!;DuBDe?QY$VPVR*b@}R(eGk z>x9NS4JN|$GS!_^NTR0Kydqqtjep+dbsf{Fs{PM=@6SzMXX~bT@;9?Dk7?0)Rn3$& zK3@3C+oAm{64jZr1bV6($BE!N`mKtYiN3~6N7>)*F{^)Zva-Dt2$pfIt?ncF77Txt zD$xsU^8G`Rt{vviM(cGYQf#I4H@vf)A3)(EdPwWW|J&jLXO93pHq~Xo zQ)&e$WmDz7zj7p~vElZRK2Af*2$ob0L3I)oDQq=2PC{a8BpAcOPHj;;(xb2;5{-mj z1&p;%y{+Fz1vRz@Q3l^c() zuMPiPeQwgc45KYE7xcwwPf(ho%>w2iwPt|mGN6KCt%fR zyN zl``SV9`6x(stH_>8leCRj%`oGD@MtjaOVY2XU#=TxVeOvB7t0L%($=gPU!W?+5*3h zmIg$^Ej?WuVp1E&a7mQF0C9f@%nNWi@W8|cjrC#p{2FMuX}~K&R9K6!XM-|e0;LS4 zmu^B02w`e^9yBn#IWaGX=36kL5zqWPxEv!Q`kxWefX=(+;>*JMK@deuz?fki3D}Pe z;JV%|E*yrmrlo|-01ZlwEg65X_;8HXn)|dH zw>WS+W!=v4 zPu}lzS8nTAud|0HE!SD7eJON|kZ1I-`Tr~uR8ef)^c-(dSLODxNb9q4(O6pg99*6; z1WytEiRj?lp^L4fmACoc2huI_9Yv(|Hfur%3O204Om=;)j&aR*$Fz|blVPC~ zgTFq9zdixCzdiyrnFBR4G?QTjD7PQj0?+|}sZR$k@Kw;l`2djwWVPU29BDY94k=Iz zxI{~8ASq&mcWbyAOh(Ee%_a;V*q}}1o0^{Bj06FrR0s~O&<{L_Ji-u!aeV#tNIpNV zCe8dveEqcm+~2tB#fOtx7$G0D)3$qlQjKdNAJ)G%<9h$`eSqz(YUbjND#*1^Dwz3y zEupvFWRdF!EkdE~(P}jf?$0Le~`*4sqi~7g3lu3| z)bE$40d}Ffe0f$~K7N9_R`#w z-!=1hBY0gmsk`3u<+pNAK6s<~fkYo;$YdIAMQ~+N(y1Qgb-{=bbfJ6%+KxEmQ+LM4 z)d`ed=)0u7&nkt16m(jf@SGrpO+|{~N-50emqI0s9h(jKpeelwIu^!UErtE;Quq{# z{no*jpHB*ZZL#G^8h(jXMkm)!6?Am#wJxl(U&=AoVz?NPI?P54mttW{ z`=vZ%BZhZHphNey7=awsUf(s4?R>g+V%$RgGKmrHzMe9$F9ickSu~S~!zF12@ypP_}X-1f8tLbq8xyP1g!tAeNo@*PY{k|l4=K6&sH;wn zoAIJ(yQ6>Iy$h+kx>~lK`1-IuJXFe+N`)HNfl|@pP8Ze!k=`lLYipX&@oa!&Rev|R zo_;kFa7EW&D)X=v+79y-{)j)d0WX4KK&2_a>1D(^RrAFOX?FZ!B=-cpr2xz4g9YMe3LJ;Hg*k%>g`!`fU|z8$o^2?S z@fQZpVRN+V>Oz0cJYuyed>Mr?sld$|qz_H+b;=?J{Sjh3qy&BK0Nz5qJb=%lYHJ{# zFcb@z4*#TBAI3KVCx$hQwP`vR_rNz8XAkQnl3v$)k=8kXLqivKlP6w1J@_}NpSs?g z(NEECl0s5En?jPm(GoRx}Dj%x$ROO$jgVLVWL8(7Ijh5j|8cpSG{zU#X=ED3* zHXY<1s?@M_(=C<{j;jt?WhB3=`Uh>$_ER%i9+P6#-|U}uCmDGG zrqf#TTsN%`ml^7sqhp{h5W~&lojjSY7INIqW|cgD{%~?!&xu>pPUK=*Eso{yb=S&v zUd!cgty~^=buAAOee$sRwU&$Kyfz`9yv%pUP+Q2e~hw%NO#c{89cSU&+_< zXL-+0#WIaxFdIjN40pq+ewBTHxb52y8eGRpF}U9oYWkE;&KJ6bhU z=FCz(-CcM2b8haRhH@|>Ls|5-7^n4k$W1+2J)e+j>lxqjD3@I|sb^L9PA<*}l1bZ* zB7V>9uO|(>nnpNu=t=wAT(aAeD^5W#$E%K)W%2`D>Y!`i)pJtzqUN_!a>Hiao_y$k z`|2i#@HBQj5@TA=+Uyt8_NW}8z2 z0nXkAXLorlzt7!0&FD`v`qKgW(;i_%HCs)W&B^qGe469*WhQ-@NnZ{~Uv6k#=f)Su zZ3q9VyBYlcV7ic1AHcb}8qij`+iJt2Vl`AqNNY9JnYhlx^?+}kSY^UhCR_~&R~w2CxpB!#_^_^i`~SYNamE(( zk-_@KnX{?z7R$S!u)Ggf?I_H-9YuNlccrDd_KsqRY7EwcWfIP~qSRNjHL)y`3&KK_ z_(!-pVFTrbNdfD^FR)R$bVIU#*?64UoM=({3pOgJo1F6-6(h%y19dskTgPAlM!=im!fx~tNGJ(mvX6Qt|rgKgZa z6T%j*31wjee^yqM#{L1aGlkJnIE^0ukTY^jO2Q^pcwc^rq%ceb!yq4j2LCWY43o$( zSzMJAEP~G5C@YwAuR!TruaC$I+JrN2Fz8FNYkP{ZU;1tG^*L z^m`hu{VC>E2M>3i)YSr!H6xup!wP7Ol~;XtboDvGomcl>-}_n2PH8Wv$glM8ylAG& z>k;IGnLF@>G1l2gW_L$_Z-R>jHrbeLo$$^V*lfRvk?IVW*4o1MFmmIyFEikg%goh9 z#Bg8cn&_2?DxsHXxHi}Vk%73SuSyMJBv(YnL#imW@R~3(bwH$*I`r**9n<#QZ6FHo zsV4I$JkMXoZn~!rRW-3b9VkN^Wn8Dk6mmNL>yw=;(WysT^tii!Kz6a7#_MB%^gfY2 zf-)G7E0N-DEWF^4XOS5_A}QAa6Diel6tk9~q2Q@C(68Ku3xQjyCYi)qrLTZV-vQHy z$-_BQ4DId^ zhx(8xE^{PAwq=eF?b%B-)s$p=>RH2!=m0y4T$Y|}olB2@{EN}h-l2zw^%+hj`GVEt zhYqg(^MMB(u|slLNn6G* zDFe~h?4X3L73njSq%m5?aaV+rkb=M}_av<+(d@4t6|u2cElqk4FoCeVV&dPaSB9&a<~$bISEh-}hiS ztM##L)_7d<4DPq8FpF=gA;VNVR99}xOr4`tFoZ3Zu6_%rS2EWlFgMD{X6k7N_!-9{ zqaX!vs>y~Mw$flSluPa#4r4Jfhjm{$HQ%7jd~%u4yWJ|irl~MmO59r|C#lGi2S@3V zHJICf@^}oV>P*i@_iVH>vPBrDF9*CbJm8h{EW=va#P&WFBe17gXV-(Fzc0ddS^Tv@ zSQPk{%SvW110Ci0tcpN??}Tto3cSv6{d0n{eWuu8(q*|9XUKp~xq@q9920WhrW~4K z7s)?wQf5B6jE+|*gVI4RzplalHbgc`$}SXt*+4Y&1*18NXyr$E&=ol)RvntD9nX2l zERk2#+Vwm$b^*8rGc$&Jc@n<;KXI{hB%+X=zcM2J-P~uj5 zuLrL8a1^)JNom>2X``_WXB^8bJsbT79=7IDWH^`Tn}RH{KN-&}!16{VaiObJ#B*4G zdT%(7akfsh)`)p$;^?hda^!)LXXtFzjG1_SZVj)`JCenCF(akGW^uew z%djUF{5qJIzgTK0HVSvIwF)eSJ}q@#1KQK^Fr4f2I9N}46|Fq4Qn-^08(!yl-i0uI zt~W{X>csL2hN3ywlveS&glPx(xpazu*R3wGrPzWQ(-BotY6Elm_RO*P>2Yv=7w~;G zL(BcAFCTpS;H$m)xScQBX|=3=Z`{58`e-$+2yt2+EriPy_xsl$_cX24={<(!p9d}I za0ydd8p#LM$+vvSidB>vN$Qi@Kmi_m%WB$;cj&ZNpz-;#p8be89m!Wcjq?$IERL&= z-a*{q)75?X6Q6@_ouv*>Q1bz4KDa&1=e=}R+8?Gho8wYC99|zl)3NF4$?vj1Fs7s9 z!5M5mPo;z9yLtGJ8py5lFMZGd>fmDa{`kYgz5DxLJ$UkDPpb>ndCwYg&+wMp@rHY# z(b8>cG})m>%QG6ir`?4*wR&5Br~A<7WOK$nTc4xTNn*XM|bU(r`EPpJexWuB^iuEQn=Ci0EUao?F^J6g|GnOZ> zUi|QU4`X@$`Z9x>Qrs&8{}C}-(ht%bhW2R=>eQvxVz;*B*CqX>G5ULH+Qd^m(9=*6`FW!WP+j5Lo1t$H za+75K4^}GNWon6bXT-ovpL7hrI~{ z4FfGbC%%%XpgIFRJv}}>EfXXOiIAg-fwP63t+0W!2`9dqi7~#Ci9J38BR(TNJp&{O zzL1^0hogm=xidbw5yiiQfB34_2F4aP7LNF;cGh;T7Dndy+^(*!{H{(ew2m&kwErAZ zGBLq-HaEdHwXin97m}0LkdPI_Cl`}d!51^JHE}es#+P?7w6-w9m$oo6v2`+`z&EvX z#JB#BgKuPKYi#i^PENG{CSdDo;^_PjF;ho78+;iNWdTt+S!H|?e<3<$A$$W{V|;1x ze~#NaJ8}MlHZd}E|5ta^_|E@hSsVP1W%xhV|70EA|6|cYGBDs9TNpXx8=9C|*h13% zOE(EyQ#*Xt|AdWQ?Ejnkhmg}h{P4;D(U1b)*u?Z7w2QU1tbvUQKDm&cjlGMri6g#@ zow13dExw?gwK2Ykf4j4Zt+9#mf6)du7S>fdoSlmE=;Uxog2`kzwx|IF3c&eq!F{}%kGnSYm~6PFMW7uBTt zpEdY*TEy1K&e+1%3}4ClA4LrujsGX`@3g#u#ea6_zf}Iae^dAj|Ie2(aCWqC$Je5# z{nwJv|Lgg$rStz#f`WGLUNnr19QZU$46OJJY)owU984TO|BJ4Xi=(57t@FQS|EHJ# z`u|=h6BBn6Bgpj?J0q@O%hZ<8a$k|cxe5@fxfy*Gsuc!+)#;vFEd+}pb7Q@uYs?2_ z%se+}oY??Ff1bUoU5STgLW4LH=#$B*Xo7H^70kC{q6#zLn(YsT{P+5B-5X$43>za^ z;M<6M=k$&BKzYh3=FFUn8cByz9t1IlX#KK|eh~Pb__f6+TQLe9GQHXEOxj)aJi+k$gi5a$HsjN8kx>US(i{$a3D@)~65-54@2Djg| z)i$ugs}EiBKuMK4viRcO;+q()(Gs8Lm#tUVj?CnvJ}#Vutt%+;co9qI>b&QrPO zC2^Gee=RCAV{3UT8{*sXuFA z6W547bWk$rEWtXle0dxJH_q_G*S*|-{wRdvdZ*=2r>@ODmn{s2tbB+E7)hLUMdiB7|0sY$hHA0MG~e}onz!vaNELhc!i)E3VG0TeKPeZ%FYtB$utHM(}J|} zWzzx0kziS-k|ua!EF}~S4SsqH`e5dIe-*+B`hX&)?lP>Si~dMcfyNo=eSX^1YoFgM z6G|ER@J5Q@cuhvRED_+CDX9bII@+xt;+(ISd>A2HKaZH@pHVgQO4&Q`&%`j z$XX%9JaT}a^eo3wU(O-v8DN3rA;mSl9z1^UOub-mSCbntde1Z(92t~2#o33zfBbQT zg&~->x}NVDkl~D?UFF9krWiNH%xFA0x94}fnJo&A_-=RT?yWr|y95jhhwq`R<_0^` zv_I?fW#5Jr(z1}IvRao=$rLm4DI`|^G$0_)TwWk}?nW)V7Z!b9?_ z{LRWCNWA=v4Ay<;rLjQyC@>6zvC}fikGo74@`&#Ru6SDt3z1W zfeQ^()KKbGS#FRBTOc7le|$6`qCW3R%~TK__YvY;;p8Ozl}~NdS7HBXc0K9SGm&Xb zLjne0&wmF{j9c!nUBQ6rqyLM03FTIXdIeR4K9_OK9VDrrW|~ulyFHS^1a$ayMQXDE z``*)Du^DV3jjcU08nYFg>c$eKZ)Vgg5)uzStDq|lSa&1?1$cSCf1k1ZTaro_2gaqf zDhCKSq%;?Z(H`u8?y`&l-d-?NNZF!0rUVI*2YN=xR1d+lO`rM}?#r!c6HLxL=qY0- zTdS#VVK<37ftExSHx|^L)=~2##H#p1G4C8j7GC4C&8z&|{BO9>VY)YsO&+GtSng zofghQ$kFyxSbZw%e>H$;YRq6zm5u#HCq9dRS4NPan?JE{B$&BNsNk3&en&oH1v4T* zy#?=E<*9!TqB6(|7KOR}X}snI6h?@`#$5w(y=O7$1==mE*NK&kbOT6ka=pY^AZ1wK z^!tJKk~E=EK;7TIH<5rYPbac3N1JL+T(?SNBSU_o!?1gY<% zzckxORuPHwXMf~ZpkJ(AL?WdRnixc)x}uvE?zIF!)m@R!j||(u*#AB$66Ls{OuR<4 z#`j~5BJcs4e_eQzUQQ)CXL>oU&O5@cxx^EMc_Z%vE@(f|2UY@@95E7H8dv&>!XznR zvQ0xr#(7j8xn@IrYU=M@hj!S#+rK^w1GIihSHYKq`{Ig(9F$Z|Ke6p% zDL?oM?EkQQ|A5)wPY$R0Oe1iQ%Gc*d`gxRZC)`rTGEW3q#97cQMDS7mY}pufgpiR3 znP7(xBOD(t=s?uA1axQ&&G(SNdep-S>w_D`U068Wacr3|lM`8W8ND4esIWa)dwW3% zPUU4Af2cjA@6sF9_BHRT5(C<@=$%joTYE*F+fxTat!gvGC&Y`|!czc%bc|0qLnSX| zkA*5*OO~WWL0Z|ue31y}yaM~Vt0$^6k0Hn8ePh-Z1vZs#!;(!xAX#m{$TSPQ9N1fC zM<|VF#JL3G3LORP8tKv+r08q26{A=M3Exlc1Q%I0O!+}#cm2JCxW{s|{m^_4`Z9$& z=l^)@`84)gJa?-~?ViAgk4zs)Gnkr#e_x|E1b_dtF$8^xXJr8rZy^s+H*8^2%yeS! zyJ)81!drF>+~J9z?Oovzfd;BX28{*Ke4-@*o4%^2vM1=GxPv)*{l&zHukP9(^vLWu zw2giEc9tre%jb}S%dBINy{?(qIh7*PDnNGKNIbIpK(~|HpXp|z>#vZO4Po$yf2|kd z3R!Io1pGZBDCAXLqE^mPm6Z>K&D)P1EsDtHNqmk&;VR;UY^qzG>-=FnE~1t&9}Q>9 zy#St?bm4FdX5sNyTgD=ZQRoBGn2cvPf7oQ{ir8lJDvRX|T_pe<=@6g7Ls48mk)+93 z3E)Wy-%$M;hU=_=#Z=Izf<=PcAo|yRW?<^OEs)ro77a)_-VR4`M&nWx-M<>p= zFk|UKq!zZIU~}_f5|K@RzI>DNI!A7!9#WleOm23mu8q9mS&Qek zRrJ(yXdMeLr{3yoKc9=HJdMC`w7OKwEWKZf(|QCyivYddzNo)&16G0_e}lecrnU<6 zIPF?48sP1TF^rCPEVF>!*!DQHACEIGe-#K0K>oKqL z9^mZXZ~Y*uCOX3F--BNHm~!)eX*OPijSU<+$!I;6GG1Fbe~N>o3sDfgQlk$pT%C|I z0k6@u^@tK+D(FMN@ij$>j71T|tt7+zr0I!Z*eJQ{Ow%yBV9Oace<--19lM@(X}{=t@VMc@6-U8n2Z_-iwt3?)~jm0Z(`6X%+PS=g4y}-HHDPqR`Gsr>4xa-?)b+)fu;HZgo#GW)B(ziSTeK6gZVOhBX zW-&-9Uy5+0VFOzMd9oGb=JfFS?@Rsc_ZZpFS`M27o-jGvL%lvUaA@pcBub&mN&{`1 z9ohsVf3%PtQ*g^IKouHMysnox1u9=+EQWm;X7XZ~I~Z*ue+LI#Zi)o|MqGH56CLRS zrMr|IA{UQLt+(GwmVPlu7X!x}0a~Wn49bwX$J9)dgm-{sq4o@Axs{>U@Z39dqS1`H zx8fPkO|1%SkpBoVm(;l-yIV-WJ?EM!*E$DcaCB}65f@=aouIzSo#a9Gzj%QpdI*Eb zaaE}%JU={5e?c?{EEw&HNGtVRZX?7*Fs);yy8}$t+Fe_gnn1+M8Wn?kX#Y-Ld~f@V z@;lRTAFH9m76uHq$qR=ZcF3)ZMZ@qW?O#nLphL3%5&=|qeN;f1wXf4hPRu$hGi?~5 zqX;dLjH(*TdMpyaGPfzQa50{9f|Kp|# zc2=fzq|#K_IQ0mO9shPH-H5d~5Fo{d@Z)>za^Kli)CkVK$eFPX=~a2}N0I5rO9I(A zO|6zS*}~ta5beVq_UH@^}K_eeJJAL zm3v0dDJUBtzR!EPSqoLkaL;_GO9t8v@aJzxe@Rh_^_ds`W@SWbKcXiTnTx5r`*uwv z$!ZivFG6kCQ!DW2ce$iSLVZsrYz4Rren~Ye0I=66x-CYwBw2`Ux+&|&U4O0Nz89p? zU3CsEj%9ugs;J@DxnP-vmsy0?kO1y@vG9a+l8 zf7dLp-09sL*I@;c`LA1QCGb!qxgX!&Gps9UBUno+!herR-r_iKl_$x{%yFg#rYgiJu@)lSX6e zP@qE%gyq&*k+9^P2?&rGnJ(A(R0ZaXe`IsFu5p+@zDN-AYv>7Ww-~(t9cf3n9OG3i zrR>7 zd!|zrA~?+G0+~wLBsdl9OS`y2$$@QZ=nwzAw3ad7!l*T<=Xgo3)aLfV?-rZW?Ag^V zIyBdCl<2H~=0;2jhK+-dDCaNN&l)x07TN4J+)>!z@*__>usBG4C1%w;ebv!@eVzye<6 zDmNkR%R0;y^W>W*p>y?(sR(e2Dd8R><6LuaSG)}%>lo)Weu!^u%YX++f4d7YyPbdO zP?~l>nclkn9tJ}y8jg;|W`Y+JCwx7ptjP~7p^aLCx2Fv$Fxm{WXdmKxUX$B+)29S)+r-BGb&F1Z9@^r0y5ek#w!1@Mc~2z<*AZh z)pi;?j5mDu6OA>Q%Tui`tIII98P+LH`FVj1BQFv4AS*7j&Tr@GlOC)aSPMD@yA0`9 z;B$eo`VZ{xrdR^y_NpX^zdG6g2)dde_sVvwBYQ6kxwsPO1`NGbfBP91A~8Uvnkop1 zIB|YQ+m#5`jv`jKv0$cP2aDw%w#r18$#F`Atp-cx6f4E}@5SV}ueRT+k8%|u`pBf-1phE)5j%{Q~5?F7;n&4laXN8%G3LxEMK!=l#cgrZUXKBUG6 zOvJZD^2S}_-wRJkY;48FRUzI-YZ-BlIjcVTWQR*SQLUp(jmKxZ=fD*6K~9(i$6YQg z#9CSxiU`^Zk;tT@6XpP?hc%0amRH~F{!rj3UX0#=7h183f2~GxTQL^KA{U<H#iXY-CMLSs?g7>uQ% zQ0ox*bh)E0f2ZfkV3p>JRzaQ$RRHDZRIo-s7=5$x4r-AO4zS*U`SCD2gv(xlIrZ=H z_`#i!2C5*Nw7iZavY0E48=()qA}?tD5#sAqh#aoktFF*0krv z@C8P>`yo=`77!h-3GEPh?d3vo9fEaBm5KekSs}{lAKARzGX3@s`WhVM?R+*|gzFJF z&baaFs*F^YHQ*nU@Id_(?6n@2EMAkibpbCpCmuS#CmHfxPGp@45Hq(6MC1}5^%A;p zM+qdze?b#eUp*W0Al@`8k6~#=9HNI$E#jjq(gpUu1@wksa^cO^Xg(KtO@*;RX6cv} z*ui`{jA=%S${Ab*9y#w`v1d(&8$At>UC+6T5o%#GCgqSXOMVMmkKK^yz#&D+>5!Q$ zJONh5GH%Av9y!&Q#<-};;`jOw!%PzL9Q-Bdf4A2WLxk$*qCxw75g$iaJiOTn{t|Xm zHmVXc&D@j>_n4)2JIr5)%1P&WhR1T6dZx-Pu*-hGObeFN7=?2^A4SvohYnZ_rUtTfbj0LPA8&}pJ&(8e;?Z)kIRXQ;Z_$AGwB|6D@IFN@(o6$O~s@I zA9wnw!3HV<a?77In%bC(f15~c-s>-NBZ=!Pfq$bOmi(#%LuTLjJO_)QJKM0~~mr${I;e`S(xliuZn z&QT!K(Dyxx7BhYH( zNd0cDh?m(O4vp>x;9{@FHf!jXVceM@HMzBZom-P1ntD@E0L@9s43z1~k1i`2chraA z+*}+TnpwNmO^6pFF<`88eUU>SzJZEwj;2PctWXUn>y5zMF zRx;#%Ny-}={Nsp?m#Q5SIs17@NFh`~i% zJTHY;G_|c`e&q4qt};u=DuTkPL`m74l1O0k(P|Ymmpy=~mu-uYe*uyNvJGTILj?rG z{RbhB1i{(zm2~s*0vW8zH;WfTQuyA!RV-^0d`-;bSQ<7L=@6~rrV?YVK?|U~m&<{2 z^Ar;E52Wl=swbn?1r}M)IQKCNj+8$E%_SEeCqAz_zI}wwMRxsPAlS7`E39U{Bncbh zHrQIXA+yK|dr8xZf1EvtM*bHIj6d)@{y)k04qsUQ>d7b*WE7BNF;0&NU*L3N$V~kB zV^UWG>f~T2R7`92-90I$6t&JI8fkCu+ z^BJ~{U(K82zkW+Bhr5oH@n_^NL~vi6DGTr$#yu*G^0flae_5&V7QB~X>mLTCj5&A| zw8SZjdO1_EUF~VI%+BRQDw5z<{ud^j+ww%sv~-35Q_RkD5^hP;?%D#Ei8u$f;)$xI zJj9>hAzQ_!$2QujNmM4cqh0A_)wQvkGIH>#miefZYx2tG4W3B-EeofFU#LFk!F&5e z5NS z-89g4+pj7zk)i{Kw<%Va2CSka$rZA7T2vwQxVE>B$A1%9lVD021YqFhq!sW7F1=H+A46vHs8Y2iuoK7w8aQJn@GW^(kaTmL_m_YK1W)Njx%v(Ko zzQ|u_e@{ZCVBM!xMC@V{lQbCJPn)!UL+00z>|wHHKF1bEP>yW-bX=-H{(9 zbYJ$w?Ikw&(V9ub+J4~BY&tWT-@z|0mb%*$W60i1mn3Vvf@em z+~`AJQ=#_d8if0Im_G?7Ht^0i9@PZo`P2~;e>LEKz}wFD*jo(HNlTiFE6$7V4`vk{5L{#8P+GJ#37(obtCiC3P)IHZeb3Oj4u{Zw6T+7vk9~S@?*2 zna?!BpJbD#8^BDDXdYBLOF_1MpKsafHihg8qTI>T>oCi=;T9#->H_7VbE5iKLqOI$-?juLQBev#?A=0>7Q zXLT8rmUEuV3$ud*_|dwb`O?`lM%mf{+L_8xS1Z5gDun+mHdz8w5XWFgTC9m2xwp7e z69rSQ$E}jWOusTar_07^U zm(k?Z&$!Md24-Qqv~Pz4&HbzLR-I|%A$I%5M(v#!j)~0QQ#+uO&3n#Ye;S#D52l$x zqhn_e&Z?{EVc{~tAduus+$*464K=`K$|{%U2% zQla)}B7n*l_Yqfd!nWO)7?XDn@n7P-wUPNAC#yas>*yEquKFEj_z1#|yw+^O#|9VE ztk6#hxushqRb^Gqf89wX^*LJ-`A|=y9*_R|6hK(@GHycaPO$dQE~2$CNV|nUD-N%t zl1vprj&S0U_y!3*{?RDD{(PpT)AT6|hr4A58gw2FJF;8=oZ2M9ylpiMGt}KdopLg< zApV|{HV8!QCqTlvRTH|uWcYlb9^&@#YcWr-_7ioV-b2M}f4?XlN0zE;CC_LIa`!GZ zx=l>#Rv7V^1#6I-3vN=TO^JiSZ&OMdJx!30G7FU1X8zq-Atdu0jL z?tbmfaTWHvD(wv#!&?$6;<>U@P^h_x!{dbKXY5#%h0Eo&P;}9bLTM2Z(%L}6JNt(P z@BM_gQbjhJf4E`-g|wLgC=cBbsqtpOM_I`%pi+VMcw;ky;jZj_`Nhq5P}4q1urWSm zXq}^p5Y8{Jq}?oMWnl?VvFYQ{YA-yZ%ahv(*us?F4=Bri)xW^mGfu3Ye+^C`{r)5&%bM#amM)X4l@Co; zHfvp04%r>@S7h_zBH-l2xD(y5G+8Ux+d<+DV3^f}|btW?+f;?#`pVe_^IJLlwhO#Bro`*nAtjmKd=kCvJp2 z**)KK)Kn((f?*03+<2iNn7WB3M~oBV^!Il6K6e~x#?_H++a_K4$PtOU68GR-R~TVwOQ z93J|vsY0EWdulPhK28HHu{h@gSPlZiXduI`6az7;_aX&Hj zCLdGSsUq22A0ILEN8wqlhLBO~&*6PWN(AVD&;UiQwLTz-nl|ClyC1BZNXzM=8(Add z_K5K|`LsNFz#=S?J9}FPmQH9KJ*<$;f5v{x+aoJe2T%budZvF~ksn(L&)IR_wKFWG zp=9zwzVpxNnKCTPDm-Y&0@|>_wky?_4q4xz(lApk7pVucZG|*)5E;m$m!pcOUE^G_ zY^~qJe0r=NHv@$$Fi`wtYYk*^(;t`Z7eQ)MCkZVYQHNmQbY-^!-&xWv_psVAyEur(?|RER{0Y?>yBhwmMg7-g=~J!s@PE=j`nBP$yUhC+ygh$$LAn1|cX zBOY)^UN9xJj5zL~ig3en6~aFwe^zGH+`v6pn>TvMK!-3?SMEM}D*4uIg^Iw7L4bQ7 z2?~C|`GOL?Z;B>rG;D(11m%pk+PCr#C8uaE$Bvzke4F06sv$ zzc!GnuLSapkWZGLR-;v}y@kMiYk$!}4t;z1b!*$g}J~bi+RxfF)@>ph1?&RN< z^Y$blfzPCeuF#_RV#>obA!s|<2^?99q80HjEbLBp&r0vLm-+O9?jBo?MSm5sVFx(Taq4O5Ly4}}(g^fB^411P)Xmkq;8``iYS5r6e zK?bYEQ!Y{0u${~&;OEQ33xB7>tcz;`StgXwACehCeV5&i?W^q{ajE_!OX@7psk5m!9VnglC z(G9^2Df4DXL1Q`EQ&ImWC^cp=cw|tya+$+PzAw?Mw6Zh=Vi;~E7qLH7qNe&XVyALz zxZ*@kn_Cs^{tGn2Eu)fCV0)T};S{+=yjtm(UcDm4_jZ^fXFqAgh?CCqs@VKSeJ~kO z&Kbbjtlpj*`)s@1ynitLha-f!$w7ZJz1ZU_{H*IW-G*=NY+Kg;mpVOTO3bF6BowVk z4yWelfg^I+wtoNI2s6-_vs)6#pCrR1_E}QGvyKcSg5{eI_}8B@Kvll`Ho(pubbs< zA;Hi)0HHhf0PAY(Bw#3#4qo3WJZl(edkDJl^Usv1!faRzh0`S>)MA9m(iSsN>Z5%3 zW5PXZRQ7Qr4u4;>-(E>5igui41amm-$#ETvOvn_$j9QD;Pn_lp-jd6X$bfvpQR?-l zrwS{`zjx}$+vaeoK6GEb=GrUJ^s{l=$6Gl)Rxc6KPmgxRE-IJ0j@_M@3EsS0n?0EqO(my1Qk+ zR3rjHL2y?l_S~&Ltd+1Mfx%-(e8&_v#AcZOw|^)%iUx@F^Jx#d@rJNf%E}pE$Ekx4 z6rda46B--2Gu}gwlJN|4>RN&@nulcdV^K%)s#Io$s`WvKR|$22UGznXfl%FC+HF4Mk@Nr$b+BWFDLk$Y2o zi}3{ie`ZtW0k!guTxSmz2#zgHD@qHk`$4OP#O~KAGZ08)u>KwS7<=8i`VmXyAb;X1 z^piz_aa1keyR>|!lm*oJ1@mLQ@pHK94`5&g&_42Wa>Wx)yE^$@GUP2h8SXIU=9_X( zPijm?Dq}L_aOGY10bQghA2dv}8KkLgWb(~GMg-UjJ~4p1+Km#Y9$^!voB^EjWHJW_ zX1bp;$bXs6NII!e4<02g)TAFY9e<;(`2)@PuHu{u7;Z#Jpv@jABO-W`Y+2wa%I11k z(7!Zc?BUJSiF&{Gx`c6Y{KC_ZRDddT#7^FtP{|0a9!3o{93aXySj9iZt^4aQN{0mH z2ws-3%@rh45F`&eAlGD7dFKWEJdz=SCv@ylwDw8y^pshEeRy^f?jCO?BY#CTU)!5I z*CdYVC0-_xTa=ZhV3KBRVL^MS2;(5S*Xkj;i8I?z&0+q7No1_L(gi)$Vn;qc;hHbqis(o8h13BH@nXeOW8ecw; zw>^k5wHOvE(jN&VoPQm9!+(WQon>m**wM+cHN4+&vyz)nwGchIsu!DP>>)}B^~4(r zf|#T`O3GnOmHe8IRk+X|{nGeSrQyIS0>f#v_*w=Esi7z{%w z9r7m?Al2Mm%tAj`=m9>)mtKNhwv$mAgQ1_UQ)Uq`@QV@})9f#Kad?RpY+wHK9?{&& zJYJylGlg4;mXn)R`97GZ6Sh>A_=2Z?$)#jM&xY_Z}FMB;jE8U2eLYQs2ucEd>M-9v>!zwojb4|#o>Vu^C>2|oCJOx02*zEjci5c_jjoj! zEho6Hl0d5l1EHW);oc5;>$CDvO_c4kigiLXPOWEghV!~}S%3A1x>+#i%GqJV?t^Ce z1li|Cim9!C<8!Q96fjz6^|CRpoCx87kf>{KrA%2s6rFmT<9b`cv}y3xFw0PN|izen_m6A%L6K%r~C`Nrl=-ZhUC6{o~j-cqeA~ zLn@3d`mRcxTYp8Jx_woH4#2f|yvIP}iwWeAXo@U*guOn56v~Q39Wsm*wZD|WaZZk} z9vei0t3yo>O=x6pfil(|t9+Eg-Ur&?dUSBNlfId;t6p$fk<&LNK_#0N99IbJ5`Ve9 zoRbTaR|XO@F~F6){IL!(=Ix-mBg<)9KQmyens%rq9e-s_cu8)-+K?YR*EM>vD19gJ z*Gu=rWrFWr6CpsN*Tyi;6hy_{X=x?w(d#m$O0OEs*+?a^8WclUI~$bHhMeRXjd8Of z7*UhrWPi}Ct8eBe|A7u?4e$cJrOZlU@K|ZN9isWUDnhAV8!M14d^lx3A8-o8MuE6F z>b3)XNPh?_Fw)b*n4qYmtMK#uB?fGwimZ6c6^|`UKW63OuUh`70z)C|{rTcHD|_q> z$vEipfj`pw!C-!TBVV&GkfHfq8(g85A7Gs z>$#giRQG0@isxAlUpuh8iwzcB5@Iv|;mN2>vci)#VBYWw`=dl;b~^8S2&(Kyrv#*2 zLU>i*eOBcLrUTQFuy;V!;&@BXOM^cP0e_<5d!wMJvx9^)I|7dPc<}r^Sru+I_5i$4 z{>hMw(PqTf*C{a7oQAuAU$FK7;AAUPEAX-4*A;ZtBh)2(z7MVeu2W56MNBxN1(|wc z&!sa~ZEn_}mmHZ?Xq1!mA@!e~EXJ>{!q8};&%o~rT-7MyUDCYRRUOsJ16Y-|FDh6?OaPIMyQc}IH%2o-j&p7?wN~10M2^F@_#&UW;+bp^cwl2-Dw+-KfiD~n{!U{xW+7|%xrcUX+B<8*l<$YuT{-|%9CC}9O--&DSsv_VG`qYkx2RMJ|&I7l%Z#DJ=vr#u9J(qI<^3)SJd*me#Aj%vXQ{8oI0fWAZ{cU`<0(O@YSu3b|MCoe@k!W z(OKht0|e3AaV}1Ls0>lyA-kwgmR0+VHpy?-V;piRg0|An;OKP3wT*9BZNI;4L$J1d z48&IycMvA4-gLTsXqbn95dILZy_Md?6@1f)2Th;St$)+Piee2-N4=V@DvQg! z7v*aAK+# zy%HEIUF3X@(|^y*mN63mL4t2&dfxT}#(C3U_75eA7lEfQ(I2@->IOs>7p<~d-Y_{#!p9iIN*fX-ClID4)O2 zZOb>(8xHRjH#jzU#62k{O4uRGWIy$NV1djFq@aopFn@d^k)!nyKwqUze&fIBe zG1UP!QeXg6x#0N$5@GY(jc3?^)6LY`pj)E0T}~q85~F7b)(`SU^nO$I;1p8+Pk{iI zx>IaPD(0Kh+b<9H8-j63{Ega&N>r_JhcIh@I`9AlT8Pw=jZ=z&!XUsN7F1b9Ub)AZ zc_~`9Wq%0wi&aBK03EMeKzS!c(w-oa|Gr{GnYWS+ud-A+%fmoIg^+`xd#NaK%PU}!GFh!LpG;n+cMf);m5(aTn`avsg<2Bsh4Q3=mRcx6m;}lskau zD3?dHN73AynD^8CxEp;C>QEj+XD3fx`gV**;~9_6!RgUCzw+i5tWRKM^euCkY$~sZ zr+?>3F_?XVP?z6%JMw8AElxER{HP0Sgo=y%jL`@%R-1o$&2A!F8-)UOULZ>eTKm#k z#|sz$@o`Jnj^s&?^_N2V>U#Ct@l8Kb4$FgIfRmCj?V4}6gKuUAL!z;QzF@@I1g$Z3 zs9g!vqm`Dz`G}MH|MO<3@d1MDPPv=&qJP@hP)fZo4vh^WNoy+~6(9_fFd>NX{qglB zA4xYtCdfHH5)al(VD6=9eM_!Bp&n`>2~v!`ewz?BPyeIzL%K|7_&JN6W@IrTFn9V_ zV59^Ky}%+HpM{z_Zuq_)Pg#2&EYI-=%NTeYduU?9kn$Hp_0~`O#wb9_1fy~C%YW~X zoxcG$NZ>UhIOSHro;c%Lia)ixR1eAn{uooFbd4Op%$;IlG9RQmCTV`tE9&O{s})qj(=C7fe+ zt7uNZakBzul)T4%0yO)X8clUbs&o;#HFedKDuO?4fxtKIieCKIwyzF;0Yb)x*kgkg zES!DZ%V^`ql;k~AfX%XxH+MbaUI@?MW0f`sdD{dwRXOt4hViz_NU&~iZU??ADb3e^ zihiJX*>m;t`(LM`J<$7Zpnns1c=Yuz+}N~BOTnbQrOC7@;6*v1g@VY%MJAX34^OUx9|~n|WOHkP7xw#3sIlD4Axe74+vq%*P1h`lL0cMsqK!B*ClD4#hB!Eg%K^-6o zv;#UB*#MMWjcqJV0CJWlKs#q3HNec?31IUd2Vi1vXKMK`PRjUxG5TN2_DRRu&JxVe^dVua{h-Ofa)I&sR5=y zvwzU8HZ}@Iwm<-tsJ*R&s|(NxAa8F9bg~1e*xMS}{TF3qe`{&u`TvXiAB41v(LXu} z+nN8P9MgY7md+BE9zatiOP7D7HZ!vMN1}hnHG%)>i#*WO($)4q>w*91@lQuh?d@zl z|7Xj8%J}z&jH)7{YT9D7|2qKxPK(=_*qd6~nFCZ^{?XFN$@G5%|4u6zS^j5w{!8Y+ z(*$7tf4;nte~Xi)2SA63;a}sy^sno`mfrtEiHO*Hc+)d;aRcaCS(pLLZ0sBWZdOj; z|3lZr)yWBH=kjmC|EcA_{=Wwa2=oA&z^yIYoA8EMrMHGv_=*3TtAwPTn=w?UU1kPd zneM&TLA4yRFf};5!o5?&EpSI5m<=@M-@V+CzH1>de~Jep98XTg5J%`OVn0rDfw!FCli%Z;_kesp^f^{&LjcNDvI&vwub4URtp#RSNb zwj_CBaCsJolwajuH8WjO&gKy`$(xO~4F#dRf5-Aj)w93u43aR?ib#0D9Vj|-Y?@11 zwU!=Vx6@QR+3Vg+4@~U_Pcf)&&4c4})mr^copU**;-YXjPDCkIW~iN{1BR;bva-#@ zK<#?akf%hUb!1RX^=(g4(P0FY- ze+gU@{9RLJk@bZy2FAgU6cf7EMnZMcH+`hu>Md<}xPHrCebpUVkd@yrX0`doyv(sA zj4U2wnHang32!a-6GE^XO_9-fwuuZn+*$mc??UsN_QBL)gfAn}9N!V~0q197mWBB7 zM-!S0x0qJhG5oo?>@Yg`{fm(oHQ_YSe~zyVwJm*vmlKL=jAjsgiO9Q7ObcLV3NA5r za}CGi-4Ux8*k-vtPs=gyIa6vwwrI4rBlH)7fZiz@bN)m+ujoK~PlLm_3OD*s+&D>@ zYajPhk~s*bq!bX=-JKfgD97ZpXd};-vXd53ZbtW=S^uh!!gYi*=QPD9W(99ne*?a} zk%2JZDJ30)$5`QcL&4xOVOZt1)l__9bhDUV_H%D6{exEfG;63AmL zgDc@saf`j}d0tirIi0j4yyF!8e{nvDzv#LPLqPgrY;;2FCtuGOM2ot?pED&C*oDhW z9PPl1xMmMYpz*yuHz8($Fsk7CcvvuR*CLr_Pm zUR6_^0f>I8hKkKoWs)h8#4Af)GieA_U1*KBNcQ|(htQIErrbxi22umqf8I?CInFXf zEPusRHP~;lzkxmweZEU7=H^(oXdxv+?>XY){;~W_Q^8ON-}?mWP1wQC{TGgUVZpL9 z0&?clx~(IHNn`$ZWTxYO_;uw(%}~!a#mA-)^18fsQiJn&20S5f7GzgJ$w z)c$7Y@P#&fAit5|qNIEG$#nho+{^y3^>Xqpt=mEDhdma+kkQthH7~5cj)+`vR6ZK0 z&Be;{C|akHviJ$&Ki5J-hd!HaG3Lygn2(C~<_>hYcKIDxa+^J@f3TNcx(0;YXo9GZ zH&Bmc)bx=Gf5b7r_T!z$gxp+NZ`nIqJ&1KYLp%b)a}O-yw%xzI4;~Or<@p8wsy0yu zstb9#9p%Zn>IEU}iUGZ8@=EiJ4iDE+ae;;hQ3Um<*7 zg1X~p;2qxwxTMs&f8;kbW7%I!dQ+Ip+xL^Tv|R(Ir|YtwYeYjkK`aR-fsKRfbXp#Z zsTBMx)AlZu2Kn0V51w%<=+XXWg!#6CSseWdkfd&2!v~mll(J`0Y03N{ z7w+6K-^APLf0_wmYss?0-@(u5(f4KrG54&pK-Tz&VRmTph6U|{F@szS-9)~t}aXLAP5 zLI>S3ud>+Bnega;GSpb=m|OGfb3PTS;YDO}ypV3Me?je(#b(^AJh?J$=ysJJ3kwE^ zCFGs>r$TY$#f6EN3xwp9`|5G@VBJPQssEntD?H!yzKOgreyjZIo9a98K=R;3m?Txe zB|jubJ7l%TO3r9QNJ`E=Yp0MkyHiIibtlMIB+{xTEg<3Mk~xJ!Q8UoiK80%Anpv2l zV3Dove+U3WRp@<2u~E9y2=Bi$6a;--Vm@8wx&IxCO@WbW8BGgYXCn7R?yztF5vK1V z4EyV9U61(at{r@t9Tn=BrjlJhU;U;OqY0- ze}S8H3vIA%MnPvXW$dN)U(q-9X6OwrrW=2ja}#&h?*7K1a1(A1OAK_=#7C z|MO#WNKKueH|S}R46XUjTz@6uf&1J%0@X{zu+4J_bJ3ZbiaG>6-NE#RM^R3B&f!-1 z%7N2TnFT^$2DTgthY^|970_$VMlTWbe|x%>D1edk3Scd`{BjtmrFzk5f|?h?o6B=`NcK22SAOoe~~?9 zq+a=NXVhh(9#jBwQmu!$e%$c9s4>ion%NMdh$j9Ls`z}erJDHy zmb-5+`-I)F`EVqbu^o)y-TBeu4dj6?|DM_T>Mq@iIJ)1eUug89Kx0hX&Ft6^v#(z5Ozj+!F-e|eZfzxBg9 zGHL!4L}?!STULHcESQTGh4zCgCFfkVj#I019fl*s861X#Dx&u=61~eIiEV{X+bjOD zUA;p%84^Spf3#feWn^X3a#mf{uBiLE=L(z{OIib|4kY0~I!qv{mjB5&Raej~b(6w6 zq!ookYab%5WjXDTV;srJf4Z9K-Nh~(YNz$Ma`7FTe=1$lKZY2ek^E03a3V`3Dw*an z$0@Up#`SEaT**!u=$urn55vbrk4L;x#Q3H-XuzI5pSV(UB=tQzM@fm((*HbDe*9zeezl!B|&J|RATs~ryY$FlEF zgDq@VCsAY7ONAV-F#hK875{buy-+De#A6k)G)n{>;V#l$PClJfqv=6MS^drP&O}`^ zdo)xhnjSs+`7I23e_A=3{Db-q^FXSj3pCwOfUu7+O+96oL#jSGLtU%3+R}UWvzHg> zlrQaP{KXkCfP~#DU-g!|0WpcJJUmT4JTTcJ{w+gq=P2$mi-Z0^huaqEyHN&h+~OZq zM`dP}DiBJ>6;@3o)fstP)#r}!Q!Gxcc<>yB0|r6S;QX7Gf7$9BZh=(8WY{xNo_hsf z-F!~};o0y2t#CBbsR=#twX4E?^j+$_W;S$X9z9nKHobd6VXg;6M-DuX}D2 zQt>LRzs8Un4#Mv_p1SQ#hLaqL#S~u>sOkmn#z4$>Cd?H4j4ltbf9M9gvhsp64oO>F zW=vSKuU*Xh@ZIIl`?xw^c+5l%Udh0EY;srmf7AhE*x0LN<5W?ZApo%l^>{`PM8gQ! z4?HH%J1C!+-(+9cwXi+jD)}=`>5(fu7HC8wl9b!u(z$aKtlQR4Wn)-Ue+;i?XbG$x zMPB0f;eNIknweaLxBU{Yi~XC{$p6U2WYQlcB|0U!mjcRHS?H6B@?%**^-V2iQk4>I ze~xKV}w6QC*4!nrfSaPZP0vf@5yGKs^^rAFM0Ft-QUsw7|%02EI^e<@*e zP8fW?6vp;r`CYZD{hCdKbVs>6@=SGa|G|Sq8MbJ)bevXr^ZI6)B#xB%a^czIQ7%}Y zfMifSi7GGXlK}3SOU$b#9QU&Z#+yMDvTo}X7h3E0WYt`OUe&`fy^|e3X$&ukZryJw z*$L|pIJROS!ena}?PmU$Sm{^{e>L3_(x2%&C>-llrk?Vxc6|)VSKlgx$xe-jv7ZMj zd)Arn$`F#k?v{6ZYOG{q;dK{ z)0f4LpUlSrmEdiGrk@0~4{fxqx?5(ygwNuSZc-Tyq0dy9kRkERhHdqS0RGoyIoKjRj)l7!DF%mu) z98YKTm_6*by)3ha_+6=RGrT&Yq_gfk*fXYJUUc_L=iKXHAz}h;t&9ZCqEaGzoJDx^ zp>(VZg370uIT}*fEJmgxCu^8yHmLCwdJWb>*ph!4elc3x1!M60e@Ypo65BO`{XFQi z09VJWuJtNyPKSp4jtXvTdGjwmCKH=~)MVcv8IuvOFvd}6t|gWWJ!4EIRH3odIP?q% z4&2gKlkC`?m&Y_W2whp&r7@r15=ob=D##i`QEWIb0WTr7WHb~#S%8N@Gp&Xla0g)- zJZaXStRc)~Qfi7Pe->fs@(%MR&PoOyGTJZhbLqI6!&(B*aBFxe7J5XY#41+1{!^ff zRLru6i8n#qEqoTgbBA6jI$Z1d!SoE5rJ7tlP2DS~0&~nhC zuUZC`MB@B8VRBHS7!GP(7o#IlB+lEJ9LL6TzmQUGn4v}Jf5>U<0(C9V>|T%}g>F4= zUnFR5&J#|S(P&JN{x$CQ^YVvS$~*d^S5FV=2AAM{p?bBxJygsc1P3M@MHVJgJ}>-> z6F+Pp6|OZzNlW`La&2fQz(Mv^qw)>cQl{Xs<9IeB?Xe}p1r02B<92uj+^lKOR~%OX zQsg*~BSt>@e}?)Lyi%&419SIt45B%A8yJa$dP@4VmO3a8MwYzR4u3lG*Nc!oVA|;` zxJp%dIU1`Wiu*at;O3m@nNM`_n~xiCw1v3BMl(f2Uak%SEgO0!ky%KmGXnLyoj#9~ z^EP5xW)LftMO%TAgUL3iJp5XgDj#0Un;s$od#50me^Uw0o@M(Ug$>1|%7cN0v;)O; zD zx0o{I4kyd60eSXd=dD^>w`eTGveXK_=94ee)kzW#-qy93w zP*&kae*|1GkT8?6Tx4gfroH@|QD?z>5OU?f9xF_V^XH_fc1#x~IRpVgu%4C}NxTZ1 zA@Mo68uDjewu8;TSZuLbjTroCnezFC+w5@5BUzs80MUFJNuiUnNH2Xv{Jx$?LM$!8 zCCjt1N9Z{C)LGrET9JeT$QM0M-q%0nGEuT6etercgH1C`dRVRATDqEZr zMAnzuCNZHN0k~h|WHiN|#(ob8k5V8Tbf&iJs-Hv@{yJH>Zs@Xcx$_FpRrH)~3^tvr ze=pvr07qn}@rnH!Opsb3k@eaxm_&yHNWP-?kY)2K#}+>Ia6l0Jorx3*3OF7VOeuFx zI99PDbmv$ZVLZTHanyl>1dgFS%st5LmBEoR-+H)C~o1HfhozFF#c&l_DbF;e>6Ff z;F*PXT!i=lg=btfhtVmeo7qiJ2j+dP5e4HHGKs;g;AqrmFRfS~X%hJ8AYY3D)_KLJ z4zr3S7vcyso4v4}L>dwz@#C@#NQcB(_gYpk?q;lI#V8GYrWO! z2}0^kXk(mhn+{ieQE)GRD%+{QfA^Vud;M>r4@ci$Px2Qh4_M!4YxZ5Q`gUhiw~!!pYAYmHmRf6;^#3ny@zv5upewrm~A9D)N|*T*~OzPwJ%`hM3TSelzHD zAh>hawT}`&6|TSA)spsgUH#Pb0&0%brGhh17GiK9ij*}$MI)tGw5p|de+MYN`Q06n z;zp>%kIopZy8;;MhT`J zUWH0qIG+B<31-ur9Or+1xb)d4fnrgajBI*yFoRQL22ryTQq+&7eCk}K#9mRIG$+Km zP}j;AGl2V-ogkyoUDbt%xyWiUv0IJ;KR>n^`h$k!_6I!(Pk3wTfAxFu4yzqGae=y7 z!M&oBDlRMcj6Uv^0#=0ywxUo?NSBS93Q+_4@8i&%4vG#Dj`}s>2et&H69)Bvx~z4@ zbnts2Y&z}fRWrP&RC<6t+^6|K;~tw&ThtLmW>lt*hLwwlDR<2Cs{&lu2vN1x3Re?! zJvd1q%6?Ar@kG!pe}+#Rywu1ZB3_Vs_y+bB^x~Lyu7{Mru-@9Kz(jG*fAh@;H)%ky z<|gZlQV#_&dCGwR+^zVrd;Yo`# z^Ou#okuP2V(9ZK^7ECbP_w7jd{?~g>FWqa~t>Pa=uj_6qe-PLJK~(QS-3JUhYNvgR zRPvtie0xTea3G-4RMTWAtqo&HHgd&(SoD=aSZg!kPYWWLOgK*a;Dm3y8Fk|H<=~prvQGv>X<-A(%A8x zU%*16?yDs^Y_4bUKh0B7FpS+Apshn6BuXLRVu1aqf9lvyL6Eoxd|$N0Z{9LP)R;wR zzP#4Ux6zx?c_LzU_iYHq{5-JLgDBAEf&DIc0*`Cj@B_P6qp2m?eOj7y&HnHhhaOU^ z*5M1-gl3D?g}5JFW=t5Rq9^gYf*pEq%fHfDQfPx<0RKC_F7 z*oN1Sf7~>laCACZVS_PZG*P~!++m@pLh_$;B1+8ys2r%<6U8MxwzfJk| z$kyfAOOgn#o;U3F6Ri)l2=|COs)@Qf;B4W@$M@zJf^j5+GlEGn)@|~=%Q|>;iWB%W zF`m96PgLk3!lFw#7SFoDfe#UymPv-FTZBI&f0AkqQk3;4F;&g#B*^U>BR>oW`S(Py za1z9}B@d<^Z0h-(-;T4h7e9f?J;Wl|q`hoE4zW ze-bM8B)&M!H!Kmg(6zKG21|-ofz(-q?I-Z_0VopwM?m?!Hjd4_$3k(E$V=L?LEw{c zMivo#=ivTmpfIdv9HrZUPy`g)HT9d#)G?F7SY2(fBRJLYMt6m!+ZreqgAzS^0{7kj zKX;X`EZqh@R09(_?$VNJ5e|w5LNSk{y5--hB=;I zW@zbTD5-LT%|9xUbB`^Ch^SzkpAH~6wXo;#fo$7?P)KwPYXMce@Fj z-VS}5p=3<-riT?@rol9qR$7wV&YUzI`vL1mG!k!H9XN>aLl3937LJEAoHA4-f5by| za@!Aa0i^dVWY-B{HXIS40&q4RhmAyY@{z{-t}hdya79#1TxZ}7%MG_>OFh;W{&rA z{Y3}_&z|xwUU1C6)~QX=PZbXNf6P{s@d`U5Ea}l5W3_0>5W9b9IIVy<@!Rz^3vBLC zHYAq*(S6a_>`*Gh(fZs~iZ_(scRk6#w|>Jhi2Lk!M4KUz|M=%E$dl6`rgH8ef3?fF z2|Tx-f>{#e=ENX8UMM}a+6ZT=qU=?dz^urIv;HHU)ayJd3u*JQ!ItE%fBRtZ7cLhn zXE^=a-F>;XgMxPi+8X-TK^~hxBexi-ooZ_temIY{;(;}PTUB|y`QhFx-g0?NyAdu) z45(yV-fbCnqm^~O=uPeRJCq{K2*ao00sN)QaoLxBztl;Jj;t12J>BUg^tC|j$d7U) z-}x)mNd6%s5&CHQ%p3lKf0*SY@6mR8d9JJUYDLQg%~>U`0h>z-Dp}5M+pxa0;tPwJ zSxOB<;i25Wl&Aqr0o$ZTpj{#@Y?$PDAc=e7rRRT9D~{#=x^T%C=b5; zLt@!Gq4xgoZ;Hgj2ro7j;Bd4SB0{CMf2zN`b^ndWLo?!& z$@4l0dte&(*)$Zo4guiqR|`hzqxpI8O@>|RJRDyVx%N9+<+H$(K7xQ>m0Ft7*-s~` zG(gPDPu-e;DxxFBFNOYb!xG!VPf|$?3F+fT*>>>{PY_EauGCi@0(57vEIH z2RO1f;-ahHOC3+yeL;r5_}DvHBNB!W$MWxj5|1kO)31oc!;oB_q*;>sJfa^nUHB>7 z3XDY?g*itoT?*m-P|{Wd6O)%zWYH;k;YOR*#i=qQY{#;yf15w00iN=)pVY;S7uXS; zpi&6s_S9G+!w>wfp3IO7irx!(Kia#I6$@yPu;`+O06mCBLZ8hInK{I`bLoipC;UU< z5=s+=BOJZbzsqTP>&TMKY85822Zmk=jEs1&QX=`%Ue>_dMlX5;tLmpjpImX}QEG&B z*zg_!>g+Pke-rlBK>8#X7VTw~Nv6Ge+CaBYW!N+-1*SQ&14P+klB`2{N zl98#M_1FB5E0e|9xuC(dW>jXcS5%x8f+W;8O)P)Rf1%=gY(_~)lt^4IjbYW{As}hN zx4Q9_dhQc%F{4g{YZ~9G6%8&qqN>X`Nqj*9wMbib#~lt(+)G5a)z#UK3-b#HF){nt z!4@YPyLYgay`EG+(4#igIIRcuL>qc_qoYMKRkvj>s>r}baZfXUa2-un@@C%o<|O;E zJ52yse`kaU%$tIANX?XMWSJLxmtwF&Y)_&pDCUNdR8|d3N7E}GPGA*em_1xts$0Xh ztaK$%bsU00KFc+k=Qb~aN&9VNp3NtN4Gf_uaHRF^9x~h#0>bZ2&t20VBF}515?~qRZ}dF^V6-3e~tmtj91KVym|B9FGFn~b}a^S}eA zrjyzY4TZ0?$yW*1CjUw`J$wzY*QN2+u*`$kYps; zb_>^CA1539bxfAq^-6?+kX*)SDx(Dt*KI5D2N8n<;m63W~d zXHn!?!Rv>y`-Mnf}~QEe))D??nkE+ELgrn>b)P4^(>9l_6q?Oe{4XsL%Ze-HP5 zgHMGpm=g|g^6Fo{%ny^hE7$sg6vtX>=#-zwfJ@{Ckum0aeL>6x=_CbR?|4cTkNfCM z^K<9N4iT!INiFp042?^5=M8;}?p-o+ikzDd9~QWa$)UA!B5`C5&0Ih!ZbmXJ`1|(} zg_C{`vSpemg|Ek`%_SaBR!E8Le?ds|EZmP-i~^5^1ty06;BM6|Kgyd~=M)u&0!xRZ zHv#;EkmGE7Fs5IYyYtgesiZ8kFAD+_^siGVt@x~onQemiO-R48ZJm%ACfOsi?QD4HQBPTYF;#gIek8TZh&tdGwg zVS>HJ4E(!4tycO2HP7rte;Dr1pZ(>>qU=m?k93gS66HeDpGPr+=GeRFbyVo7b*+DM z8y4AXAe&P7dN3~Ie*0LBe%==>MY?M?*ZsmNoD1Zu!3oK$p z`504c)6n~PdNZ~o;L3`~>d$jIo?(gs-OTzTZg)^N7%Z*5sfBgyCiqr6vWmey8y7=^L zcUiFz_3A~NYsXNRU9Xhl3&<}-2aGBf^pCrIIR4i~7<=%@+TV{5N{T19clGo1O;DX8;NU` zO%jNdh5@Hfggh-X4>E-T+s=^JjjgyylS>tF%s|etQkf|ad2~;B+KKKN@&OSii}J~8 zjCItein;v@_k*k9rfllAClYQoPTza50UiA>i|+n!&ZV~H0R+;WZx4REqQSbH)G#2wLyp)c)=M@5q@9?|@M6mbjG@FDE%cL_G)VT@P zZ64ANe?A>}IMcaJ2t+E2IiEtel?z>NsFMW=@%)mAf#oipy(YrPIWW-ggac$X(w`g9 zQ5aHja%;>*UoT>v)>+Cu`_+`%2o-il`+Y0Wt){t@Y;o8l8eqgXX{=Q-M_tCOX-kBnY-d$NSkV5xbsu66?e5 zLWePOyp0(3_w6)rj_JE(U%tW-)zC*tjh?L{(w%*REmBy2eT^&h9dB7Oxn{R|Prd4s zf2r>CmSP^(4BQ*I6~3JTf+>C0FScxpoJ@Tj;(h)#s>Gs@()_zijwDScH9!v^Z=0MN zX#Y1?vK=&WgFQSQ>|6-cN94jspc@feif7W^oqx-_y0!%uVs%*n*Y zJUeXAA4hp3UFKlJZmiU@sMON~sU43K7%Mw*Ie!iYGVk=cWo)^!{k0)GCr%_Y3W|+>=& zJ%4NAr3pHdlhYmWwY0;Iz#$N_&A+ks6Hv#;n8qNOo*xwu*LSJROry)(ukFX*Vt<&K zR2E-(qmp6$WW^S#61Y|Bm=v7&wf{<@*f-f0RE+$_%B-5DU`?|YNkrbWFFU$4dDQDf zw|9@i8PBliniHzW%Js=Y<;nUC!}j5rZ+}*Q-(d0u<|p<@!bIV%=quBkr{4d8G2tEn zrTJ<;L26-F?~25F%i7g-n?HKgWE{kW6@O2sj2;e(@euuCrOfPy0pMT&@!Q2W0=oW62I-&Vvh_17r$($M_-}R#m7=uE$@6)swkxK+cKA~fY{U}HWF($;q&~{*c6HBqj~PixmRI(|GpPd&q`Q21YFG$kZ#m)g zYGO0z&oAsiR2yUtxJ}S%D>~e?+J?5!?Xkq#XekUp+D;me9E`PRZv-H>OwqdF=8 z6kfIurWN0Ce;z@EvmRn;&iq>~XUaGXZDIe2E($eU*dLG4hWx&4An*CIOP8r4mfKJP zLt*IkOn(Xt9^19;@hR&a?td|deHG#bdAvZ*hW`8#cchM$G!+-c?Rsxy3WS$fyfu8^ zXBNxQSLf-`4l5}%!v7APq}Rz-L;gTiQ5>uIy{r4)!EI^?tSk+@UF-3W86i@d5_A%w zy_8nH_8?@_qqOr&+3#ijW{Nv^zS>yg`OWcKZ1mGGk5ji8@g%T4pMP4$WoRn_vASiD zHOetf25^a~W1zv`Wyrr@5?U6GK567>IF2{%KVy%vsl`ys} z#%kjvT-^BXn8s+HWt^y{=d(alXz4ZZ5Zl&iD7sgog3cm1`g0n(d`j*e77yMZtv|UI zc3HrFr#T}Bp59?%j(;T3CZodW-;GDW;Nr1S9(Pw1Q@Tm_O3^|W1DfIM&o_O`@Z(en z^BD{7#~3k};bZrw1nP%V@NCd8nU>h+DOkk_8zcF3pl}mrU2fb8Qcu6_jU~Ak5UN$O4LZtjhk z3;HV@rcCcL%sp%AUWM7!?2YMS6>OX@k#21lbH`kXC4U|WoWAcq@~B_AD@Kx8GuS>6 zFZ-?rD9j}^0=CAq1ag|)(;sAc%AVvbnCHXav0pi5iiz`>&=={kGQ;pbkODmxp8voD99xD+#zrk2 zBXHjra(@n^LEsXu_qy19YC@;0#hHE?2%O-K)e3b2BDKxpmMg5B)v((E)Y7Xkc^)~lWSiu)g*aIqJBvv#(Ue?p<;{T2y!J*69&rr`=D}}a=c5>w8u$Sqe1cabXJNoV!ffYi9 zG@SRsR7pOlrX25~96?pT$qO5u9%flD z{7`1vp_SIEGe@4jid>NrXT_HcCD_7TG&^;YC(}jhWM4v483jUtDk}omb~b68MeVuxN9q%Y3^1MHdxzQH(U^^ z(A4X4!U>N}+HF@RZ-r z&K@w()7Y?-mEyw{@q0b~u?GU1TnAgcWl|OfwA3bQcx88foz6S&okB>qJU*@LXlix> zxW*z3tV;raxHTw3SAL3Nt5I~jXo$Q2!VQuhHC`h;!mM^HM&79=iw8gtZhu(Cvov3> z{k+z*q{Zv#_!>g^)bN<8A*Q()!nj_o_|6eq8~cNC!jR>-ey0CmC#?pFAo*M5I+%U(c1d!d-lQ?&0Yr1OTkUkCZc7CyI+N>y*VT6HfpoU|;?Hg0fgw4L>Akgg09 ztreGZqW?Yzs%RF5%+`CMT7LkwSdSU1&Bys9HpXnMYn9TH_P+usQ=)kej=ku#=T;p@OC7vjRL% zM^NmkhK1vYe~H*O%G1w3oJDnMIXTecT?Z)^!EPO;RD zbAKDPc0g%-GfIUpwQB2O;JSq}uUQgMVy5#|F|q14rD*RA=s)Q8+(fjGb2vqCd=QWM zBqqLyIbWf2r)_hZ%S}_Oa!fUqY^h8fcYC8M=YhJZvYyUCFUJ&A+(1T0~gQMhF|^eNogN?N2#Ua?Qn z1GkmeYJXkEqDU9yl;5UUZbAwvcu72pvO$-Iuoh~Ow>PnutPPqJ6rcyOeYg z>VLKW9tLO=oTIn`S|TaEtVJZK-Z8*{p27r-X9FW8)@bZWFHi^pAR})1?P(3U9UE~d z{=4r>REH_d%@K;Xy`&WG$UQ`J@sQgz$msSJ1?-g#`}cqR6gN=l=BX~vrUO`X@G3Y2 zEFpMhniukw23%Miy9^@w-6xt@Bis$m&wu?U5wY|0tc4O;_$t_{f9%e7B7QL5;INjz zawiBod!dMcU(yeQM^&uqQ!*qx_sBNS+mVxr3^#gt(uv_wgsiIodFjK>lZX1%zpzSW zXGGBcSkWQ#-(w)6b6pSxkXE9R6{N2?6je7m=s3qsaiVYHP^{kkX1wE=e5<~*p?}Fx zTk6B8+^4~@ReVSJaM#{9)BPUYQhs@iro!K9OaSE!MA7tK3Kszk2dhhxt(QO5T$Ad0 zJ)u+@ zdz=Oai?2muOil4x zX&Nq*2ny1YgsJLj+nygf-WRP&#J~=iNnixjcqE*h>&=MWjbJC5On`o;>1R!Q8VV1l z-BEZQYnd+^_q(t0dW`7r@7ijXc>{}9!+3b6y87AiYl_WunFW@5SgTZo&^iYv1 zxShZehqJ(+R_o+I4MhzdiE9x&NR36J&wdD(IKD?V9+w-io}@5S2?|wuwy$#F23zcz zemUeq!kgW7+88;ix%8A&**nnLP?%_&s+Dn{m7HnqrI~kLF)9s?^?&Z?9p;&fy=GjT zmg(C6GT659TWi-O7MNwT|51sTZczR!J*b4iKm<&%_1^BG12y9OLv2klrqjrK#u>Ke zo0vZ#Rej87Sn;jMWB(RM+t@co!+mv)wG8wV8gXj1qOvG`(K8>hiwH0d=E*<0Xopn= z2XZ?9tg0vEt?M?f(toyV5Z%%T69qh~IUUHA z{h1O565OU!mU=_dUh;7tPW1WaQWUL4I$nK+(Wt(Oj}&I;zJFnMWhI$;I+K6C+=fL0 z-3-lLyp0YXTs*Mn?*r-tPcyrKBl3D?7D79h-A7#~UK%WEo7^Wy$g0-RG>^*H6bb`S zaqft>g%!6jXooJm_>5xCA-J8y>hc{$tdgU*3bcy7JKB#z_ujQWvw8-i_UAP=MOO~R z99Jn)o|Qi42!Cpgv`$VtL+ONci4zB1OKNi+5`wuN=KEfWcUe**;@5Bsk54s*)MtNM zmgU9D1wqzrHn;WQtGLCz8}X^}pZcGOauuBQ&$*@&H_Lvlga*B(kjktxvj`!Vx;uZn z_^Q6%ym0YR!d^m~}S~euPmRTiW^bRRMUXu3SaesgpUHp&;o@&VnZAf#M&OvTz zPMwE7SvETfR?onSp8T=CsJ5K#e$vWnK{>O|_mYMh{)1TcZOsH$bEcCG$pq%%egH4% zb;1YUZYY+(aszF%vJ;l8EqSW%X;qGqofvB?mLRoDo?!d57n<@RgBj5b zvRU4C^YlI^@?|!+8ILp+sTZorx!%q0aWiZ|kjMDrc9#1s0nZSBCH0D&&gBA^`c!hO zB32(Z<2ODIQNofu>vetDE5jJ;)S=Z+}mP|iagDNH=$bJxpgVe z&k4PocR^1v`)#jw3y;x7v{i_m1E>i9GJ33aZeOHw*!4G;_PdN3($A&xToif2kW=DO z$bWKA80u-z4vK0Hp&fdd!B>$QqHyl0CKN0S&VIg(J(RHDf z^HYQ$ve^#}S6jPa+=)|U#9xvsJ>vsTE$_+?prfrWGTl^jz$eETpbrEyrK#kkk4T{X&5@LGxQ?v# z3N6_z6C?ML(ubUF6}GbpeErA4d4fBZXiP>%;vLWA50fUA3_# zGrY_&o^zgm$|rAG|M~-(i6WxSjg`4a z`~L-R3Xt_Iw3vUOpndm!szrrZ1`3C!9&KOwkrXa-2XVXocER;0%x35pv5Aq+-2ev} zDhbrdJJS|HR%+G&%!>!>9sR!po0VYn=}r;Blq_;O0BhvvHpmrHfQAbBSX1Lw4FW$|wERfrLO{WYvy ztTYW#UwyMu;E=Di(Vt4TQ+_Nalz?SxNnXvu7LWuf_;0m>i%{_k)Yr0}hzBE)Dctkv z{njmN8~DMD+|Evc=W?6TSAU#C8@EKvz*=srykN7Xn0HXrjGjXb8CcK!@rl4wI$el8 zQSA*9z8J1c7Vw65?B$fz)LOr<=CdQkbo9h7M(wO!b1S!2Fa$R(^RC31Pwhk}LR3iA z;B`kXH0|Jnun8M5yR8@~J~k96%Z4H@*u6D2c0V^R=a|i#mXn8N`dd?x>mA0#yJ2W#W|u5MqZk!Gh`-^bA|h$ z1tPCI#)Xn?o*Wi9)qnDqiZoDQ{@z>Tq*J}4Oj922sF*u7b#MYTba9;CGNEPW~h;{eL+Vp>tm z?Gi{^a}ca5K!0>VSbxouKE`t9ZafA*_xjPE&vmvsqJa5Upp9ecjxuXKa)A7ZFi7Qu znO4VSlpGcsrhx8hEt`(j-PnkatxOwl8xp{v20~JnoOgUV`SOJH;3~)8ME8{)I(ela z=cRwbs&QAJuOoV6{`y8MX>h<`Q4|N4STI+x#}K+$1Ah=NBf)lmISk^@4er~H(PY7b zVe>Fd?rL^a=rP#?zmw>D2_{aFg$vXSG7QtW>L47OT}|oC8|s=#DS6=k`?Va*MBg zJoulLJ#d$?^Xu>-G0ux57=)*KDx;_ifHa4lpmrLo{S` zO*qjN@!m|4+pORS%Fa}EgJR-hJ}e>Ue=B}sS1O{&Jb7(+HB$~%)w9&b@H5IhO^oK0 z=()Xz^NZ~~Lg&iC@%q|ynEa-~ZC3v&6kY|Tx_=^{4uWE7KI5v8_`cp=&n!zY+~=7y z$+pA^YK;&#Y5{rrpa0Wq7SgW%1`Ofn!4~8poIDyF513_fTIfkOGIEiiRP$I)qU-mL z#1EWfiPOipb0RDCLw9J+ilkeT7~lnAH~70VQs-F&6mZ~RXwRT}0dMxoUJ1#p$4UC#lvHk=@2c19XU)Cb%OGhrcq`{=A5go&xbPtZvfI7qJP6YA+MvA|;(bYhlA3NhBA@`L%x zd&f}l05Db4$awNXK?jY8UT)E`m#ZQ)|j7Z)cNuf^+P_-_6JD1W_h zR_plV8nE)OyHP`YZwxc7r@3yWp6&gOFoZ@#_RCAh@+XL^7vOF%O36TL8+Vh8-rH>ar(s4lGd$(laapY=2rOC?B_oM0G9Fl=s@*uDME~kweBX42@1`wJyK| zGlG4dD-lurkMYYY(1ObERdEYLjR>#}XVweuXCz(s7mI}HLwc9SlfhGTkyHZ*R`$pA z_?iE1^$5)zrT8W85atpou<0aXZOAF-Q}!d747A}Nj)JZ3_6h$wvs^I`-G7B12SCoo zB(*X3c|<*Dk!0X{teyNspO zF#=YhPz(fRP`)CPU!Nt}ZOJf;$=C^o5b@r{shXQ)1lAwnBdxowcj?8rjr1fetzePX zlRme>In9_L{m-Z@MIur1g@0P!Eg;l{h+THvO5HgSDbfS9t)_;U6Y4-p(mD*x+p+?3 zw`LJV-wLwEH^%st`lf1|A-T$_)l!RgiN)Y6Mx>-U90b+(fL~+EztGeICDz2_qy@Zw z_{s;t*7!oa-^oY@Eo#cBH89Je=-=V<7bTF3480lbuiW6&f|@y)U4NAsYCTRzyh5%l z4;>tQl5Y8UXq22qIS6ZcF!j>G0fS{^mX7~o0v$=o%5A)kyGEmp_gu6=X@dHL{9;?5 zjJ>xL+Zq6|9MO#xKO&L^XJ2hn{s*jXU`JY9LAMNgv2n%IK$IHD`kie;rbLH93cBf& z>~3itzRsn`#V=fuH~oBM6!y()LjvySzciAx>75@E zg$pb0y1Y})M5n~c6Re2=8B~Wcv3_^x?y<{BgHArILF^(4q&d?tSs*IdXuBLf$ctUP zFlyh)`x#WPxCcSwBtM$Gk=BU%&hUcF(XT!G;O;j ze`T`kBb4j#QBwtoiS??c!U(goE$J$>O9$0ofaD9(_px|z_+xZO=`qmrN;5d2!2eG*aP%#H;62jXL8dj@sQy-v5O)R5eHS2$zga5B%mYSna#7CW2=~4Mv zo0>G3nA-Fw34et0609;%QSCe!Xt3-2`g-`S*sGJ(M|zt)J%C6ymYSgJ?{S795ikuj zxgVj6#K>>tu}+LMV`UBQsmgCLg4>M+@Sf=-BAlJ?S3F$M^>^aRqr&7U9#%wG$HZMO ziN|MRzsRpFXCc25K#b{tRBI@y*+_P(^RAs*G!`*;^M3;{Z2LnAM&Fp*!Xjqof++}n zrzW1+dCg@B{HR(asQ=)!Sfo!V+Nuv)TUVLi{d|i4dOkQ1dW;(nfCFjXyEO9K^*q>d z>nwZc3r>yb{^j|}eu7wW{E}Pf-s7xM4QsQu+yO`nB=wjj*A zVWAVZm}~<}sRKDRH<#uW1Rw)BH8+U=Dp>E$yOyd- zNgyRU+?r6Jt#~kDNvs+>wH*rl`ZK%hBzBynL_$YuvG+1F-+VhWI}Y&`@(_^(QFtSg zA)f{C6i5XEo)QtFU<2py-#35EE~?DPEc*ynspk;{+bN-{r5K`AfIO&Vu&5}a!sAFP zFhK)<(2uB*14T5TV2>i^Ne^*}7$p+H5@TQq{xLyCiwB7LfQwLAkQSsuOc@9gmK6LU z3}gd?n{mVq7{Um=81%4@69M7?g;N4P0Wi*F&?1103juBbWbOyBS%J7zW{&`TzIib~ zmEZdGsf90JrW)a1niPjRA7#wS5wRo_-O=(Wsq_V~t>O zSm?(qB;f6)l;Iq9bthpq)CJnZJv_vh_zH*k4v+9Cjnf2c{1D+Wj&O_Xmc#5Zg zI*ZbKoZ$i&lT2$|{7LcbgG1hnz#Q=<1j?ZG`SY8&7f!%}lMi(_3Z7#gLIk0HJ{ zOc|~oq9=HZ@k2VxfVc^syi6xL0S1460f&!O7Q;*@v3@ArTV~MAsr?P)<~Y|+Ael$c zb)xYT{)3=whvb3qvpM6Tfb&ZDsh}2qX1Htk)tNV( zU%KG4lxiRk_=5 zLvkqG0j-cjXgxUm8aeb$wMo{~vTKv^qLz%7qQ54Zjhk8mz0|q!o7@~Fqx3#X#(+C| zc+ilaBb}q4RM{Ml;ksCxzW}>ojNTHB%V)S1Y`j`P27&`)q1z)ihi8uw3F$)K78m4; zc|k(b!##*PQt5$0zvII*pXX*C-(PE(=G$bYYGHa&$g)=Me}zOYU`+ zkJ3zUB1O$9f%b(vN}v_+))HdE2I6&gSJb8S`-zWRFD?+iY6Pfz>AYpvuM zBEsE^xEE!4VZs}lh88{v=K~74aUITNR5 zg5AwuT^;>6`3B|(>zwz=s(H>T)&o}Y-P_&x;OM+})HTM}xbCxt?JDtRu&_;cw|#nZ z`E}R_+r#f`Jr}Fi{fcquTbIVk?#7#~rmt66!pYZ8yI&q-ICA0!fnX zkgAa=y!U|A?08loHA8=dZ-hkO15&dIS%uVy8!k2-oFMN3sd@FULXz7dnZ9TNiM^KW(~P%ZoSECzbnI68-{>ChU02GGu#?CII5Z0O5x9Rfxbn>Nc8hwlNj;(L zZ?bX$C2;1bzeePIR>aWt}mAcm9qQOEJmFsnt(zP4IH1NRo-eEf0 z9~NO&d_XRw(cXV(5>5-9{c}J*Aa@ln=IoTHPf?hvOCB(olJzMLQ_7tvK8YTqV#Ro6 zG!yQE ztHNEyG2hw%Z08LxUPUF4B1L5kj3`wZ3&6!IW8Yx%D-(YbCTIiH+}3jlbo3z8PvnGTu9aPsu2sz=z%WR446`IZw1~rv3wK=E@if zWo~41baInup$wON9RyxcK|wS|L^LrrML{?)Mm0h-I7CJ?Gc+(TLP9h`FhM~^J|H|n zK{Q50G%+?sK{zl*H9|BvL`F0-G%zqiLNr1!K|w}7T?#KuWo~D5XdpB=Ig=3rDSsu? zNl#Nz7{>AU?d^=EtyQF00YOS}C<@BtP)DGSI15gwYd5TohOc1a)`XZC?{_e9rS4t1 z#vMC1x+3-bA2+`|&pqd!-h1w;nH4$-v-BmHhXpq)8p%BIvtptCP<1zdr<8C@EGtE3 z*4+sdp#+tVThy=7WT-vVU#h84tAC*;KdGiet%aI;shSCOB-HeTYBr?5N;$X8XQjMb zc3r6}Os?~5qtF1;d+dM$l-zRjn%oV=KwJi;U0mA<6;Ry`J+KFQVK0>3@=IE(3Vke4 z0#=T-zz|HpDYyUwZsp&)Z4eGX&8<+^wf)?yC+H{XnTJVP=mK6Q&NHwNMt@<%t+A>F z>TuMpxu!Y=4Y#o^)nnY7BaOo(9ETGy<~BX3c`u*|2jP(0%u4v?vkMx14u|0g%(=D7 z8aNBnZga0xPr_-Kg)`8C8Mp}N+!ouKe4a4n(rTpp@Bo%!1s=M!|DX0pZm+*8J$Bppru4+^%NwPqZkyvu zt8UwGm7cj*|AaruR+Ac=Cu=S9sQy9KJzASmN_e#KUMccu^P^G{IBPLyEv^9{Io4qq p01i#8Q!?bqzVBiA;a>X({H9yTmq8!|9Sk=yF)}y`B_%~qMhZy*(@OvV delta 42075 zcmV()K;OTC%n<6p5TGgoGcl841So&KS50r*I1s)2SMVqu#PItgKnrBiP0`-AHHzI$ za!_o>5n#!wtRTt1-=Rpml1is;HtofkN;c>TnUo|;1S?Agt*Lq9WZi8t!w`x{# zLt8f6b=g&$W*c`#!|mO#$2Nj`$tam(#|B&cHwrI$<4@l5nE(uX-Phw9ldKfx8B;o%@&R}BAFGEfS ztEXhXQU*rJS3u4jhuq64_vd`}b>_DdL=h99M?@mQDP~roMLj$DP&4#yGy5y8`Fg~D zXk-qk3xCSsStL$W%7U9-?M`C?Lv@!L?<@b|kYabYWfwHKY@@o5L>w&IoMxpLaGC`z zA7wgSp^_2=*;rtSzzS4#JX^|UwRXYt=4LWiT6amfbc@a{gT=L1&CHc`_*um%Qr_LQ z{rq^W>aug|r|{T)y)V}>=*?+LV2Q*6gsET(=zk=3G+`{kC7=nT->Agt4;73hPE?p` z4WC;4bOVez#EHP*l7n_JDLsWQt_WJfyN@vcJUt$I%i15VJuBDfGtjH2(qRB?*uXmL3hbJurzaOx}VktfC;CHOo+rhuqgLeBWGmW!0o= zLVqoIgkfG#imjBdQxm;2GKmu*EwlG>nsC9eR(lWRSZ#$%5-_q{rW}G?YMNGx={jkC zIGkWY03U8(oX0}UbE~rQdi?_dU{JcnSeglIhq*9+2Y&!EFt2KW`oWywE-VzyzT|*QxxRPbx6(c= zz=y-3ld!~~myQoh5MXcYrnAQ?=n&7&d=D%Z?yf7Fg-ebs5OwyOr@b6eagtI&ISgBE z#~pdrtnSxkdt_GDV)gANdrVU1*RoJfna^Z4eQ zZ^r~}5kc=3WcGZ$TwGjBg&4s~F^QIUNDC~8MapU{C`HQ+x=DDNNh6bGxogslTaxUH z{P5_CeNi>(?efFm@8X&nWU*CDd0zx&LSV^xe{i$BFW@+(N#h;CIWT7E4q&F(3jEIvQxyDqDG z=Bx?z!cQR%?LU#k6+RO9sU1!;!FBR$oidYrNtsTHzx-?Ae)hJqJrpUHajdQGEBP8N zf0ZiH8*IwM!!BQY%zcbLvC5xA8P|XvM;WEqN*PXsWI2BZg^T1NcTM=U#RHx_0`S_@ zmyt}V72uRjo%i|5k)g(h+voOi8goXlq-rRtv!F;}tGV$O5>q1~7#4OKi`tPNg$NIePo6ln(4e-<;P$01il5{@Z6Xy}4q5?cdpU=|x=g4I>E z3FroHg!lSWlb2N-ZHc*{FGhQU(iCkL2?wn;14Ne*7Yu7PuvDfQRXVweV(n$4v)k`u z$>ne?hLw zY8&ia-Q-PKSBLQ+h~#h|Mz|WhwLf2%TadaSb0CQ=qp;9M_@|Ku`t7#p*rz|Eot3XW|r#4AS0TyW=vr?cUrCO%vuSdmCCHD)|8y)*jdB)1^1 zqtt*(xTUALAtu~7hD)Xd28cUge_nvgvkpvB&{#iTKCdDJH!XNYh>Cj=_H0lFOn}Nj zy?hfJKqynw^Pqvtn-lYLXud`(8tKgU!R43`(f>_|26Wyo7oQhC4}vIS09_xLWQCoMM64nRf_2CAS!xK2(oZdysWjL4wW*pdN@fA_~!t+~&; zt2}p|0?vf4-?RRa>#66gkW3R;MSxeFW25_RF10GjKkZJ`!hk+QEgv6Ro)_y9E-bMg ztMFXT#URti#XVNqw1LFmCk8f44yz^e4ZOJ}XagTVfJFQK>q~382T~X;{bIR8AECQ# zHz$=aKe0f34kZy~ju!;Wf3k*M@y`@c$#;+8>WHTW{E}49(-PLaAT18sPFc5e{9X1t z-Id=u*6ZS-$*Xk{9bby2;AhOQ`EeErDnJ2+m~K&5)%J0hyEAdI7As@EtK8GG_5MV0 z5~yps;yPVtCC3 zz5n<=z;;$ObMZzM0(nf7@=d$n}F3q0shdH6JgVcFx_bAGjZTIm0y`c%G*- zR|ulS8%;hzus(&q$Nigc#Ep2-(9{iht?4h~1@L~mnv)KZ`aq`Oppk(xpmBFTZYMR- zX+avM>n%~r-S^9SJ`ryYtLdT^Tyn!V-;Ct5t{p$Gm*6R%J$xkIj!^lZe{cV*^n4Ru zpVODl^7>D)zc}LoxAFhq75{$)``^a@4ef8QWq(hjQ^5_)zpmP}i6P>7;A6bw0-EbM z$56@}=7uX+eXN_EVRh}yU<$7k7Pe~_xt3=vyVb2@{D(V+E{s=L@!vYetz&FC29sgq zdFvRT+c9pk%(#Np-#Pi%e?l8?%0F_pKhxpjfPta?O)^(p2}`_gR6y^o5B*B&Um1zJ(N3e+LG_m5kkYG3fc# z>z_rmg;S+S$`=9m`D!|Sdl{-@)EIRUp`;<|mM7ax@~m1e>uxT34p7(Q=gVqYvkv#g zNIt>i5gj0J~6KzC5cgA3s4|a%Vnom*f^+u{b`{tlAH320K%$Q^WHODmBDk_B z=~NH$(wSQbx==m>ZAYB(sXOE2>I6zJ^j*^4XO+T03OWx=cutVQrXs~~r4;7#OQ90R zj?D&q(3D;T9SdWwmco8^DSQe=e_D&)OZFtI^_5b%&nJbpf7tRQ4ZlPxqmyf=3Oc&= zS{GK?FXb3(F5yQJ8(4qTUj6jZRukRYjc0OG@F>axLnZyWp zUr!m>7lfYMqfmUrfY>R z5X(;d>(23Ve|fU_hm>4>)Kw?P&3I9?-O<19-i6d%T`k*Ae0^9S9xCNZr9zGCK&fbP zrweO=NbeNrwKYxXcs9VXs=u3DPrn)oxT5PXm3i0-ZHM^^f5e~KfEU3qpwg7z^fF?d z@{o*r=ixt$G8+F&tyQVhS4#hnQg^pa!nzxglfLMxfBE8sG&}wztvXe+#?G6R(~g{F~HIUGL54r)W1xAt|0sA<5rpiJHQ-40%J94^?}r@=w%3Y0v7Q z)SsS4%Wx)*rt&s_B7YilVg4kW4ss7wYFN7I7Rv|6RfnuHlHXPRgEnaUshKQ~NwMm0 z_Rqspalvt3v@hokZ%?)A1CaFauwIP2=7g+Ff4{)v`uo+QX1}Lj=Wz{hZ0YR;{Z6wF zJ~WP;9~r9$s$C-@E}O%{nkFXr_8V*Dg2GPL@5j?>CVyGA%X)G!mGjl?pzapU(Ogd2 z>9p$PNsVxrFJ(0Yw5aBjjJyETX)SrKo7RWR40X-XF;EwX;b!qpo=jH@Ic{gON*;eW ze>tw_#I0#3axtwI$MW~OYh^pHyE|0sqmWPNwdD#3~%SH2EF6v)FQ!~?f1H>^B z#vIkNCPUMDv5@sIt7$qsMeS?X;~O{4xar2LnOw~=LoUW` zS3`mda#!*|j^#vREb>Sm%SQfNzLQfqlXKb16Zwnmo^tESiO!R7Xe9PQH<)f3y6D z)A~IbWqI7L*tpNfRR`rAt(qxwW~rX;uDkp>H}_9NIT(?lEc#lE(|SDQrk<>xPe`@( zjBk0A%dVQ#v#NV17iR>?r0qr#zvuSXlLlT*BOE&Pr2TC!*=@-cr=XYPRmaOR`2j9< z(6#UCIVpQl^IIvoVKZ(|KJse;w&^XIDO0 zi?o}GcQf(sfOvO9wU=@BGS1!rXK#bEyF8ZP=kA_n^rsp9=>Yv{kFcSdt)|Q7Wcooq z&2joNlfKNPF9)PAH#DzvZ{qBSQg0zVIfNVBV3)Zf%3wnfOX*)*r;5(A=zv^f6i=9v?%=r8d z&iRds5#^0GDq8!qt91JKuC;Y;DYD=dGf6>!IM z@P#VfRq4Q_%?;YoLNAl_{HJ&o4>6!WTshdWQ|YJtd_kue;myQ4S3f5ifuY)rOJc;^djw%^1^ zb%sl8ZDD&Dx$)YU8Suzu=ISD1xG!@}^h!jP&`UI28*G8dK-|(-rG_w)D#=PzS7-P4DvnpmF>l%b6>u2W(PIUWD?$^4!%a`mIp7G#Aq)kT}NraI# zTRPWk1X|5Lm`K+)CCD)>PqpIM|2$}56H}6ZTjppKYnpt|C6d&|*xS)Ml9}e~j28qJ z#?&)Xe^82eu-AJ?N9NO0qrmtl>pgb95uymNzOh zpIoMre|%(Edd$w{x+ahok|x_F*cJFmbBubx5xW+L)vaaxlClDQVGc^@U(pytNu!{p z6?a7_326Zg5)CrL35K+E_k^fy1aE{nf52#W&Wa#_jjWuT)x zpH&g)Z;%kKNrC5n*FPsH+h>XmCS8_$afS@&lqc z7T`lLUK4WUzA4BO`;+m!Dl2bP5*NBkMLdV4_lENrXX`|3jhJ@} zj^0!yM;;h?hR#;an2FcS*6^CyfAfr?Ijhp%nEvz&6Eji@Y!*k8e-C?N!LMj}Ns6V0 zVxw^PTC2cP=+jcW99gI1VK~?4aj>59%2s(^rEn)1HoVU9yfa|>TyK)%)rsX53`KLU zDXrpl3DXYnbLkYXC0$}mu>~`xBdVm-2IlhZnWO5{Big(_|Gt``<^I!`e-FNW@YUXY z+|C#6v|3ibH}2kkeYBcZggC8^7Q$tU`~544dzx0y^lriOPj(h`;DaeGjpT#sq$5lt~1@7>P=f3=j&nCCd zH;1R1`Oq>SrXJ=qSUSJ#e-F5t%@HOY*sc$S=_vE`G_~+xVzI(FY&Z@aq znyrNZ7yS_~ZQ`jO=xL~k{JhZwsIGAB&Cs_8xk)nr2R9J`QJ0Y> z0~41o>H;GKGcq?ammnGe6$CRlH8hj41}A@QxpQ!)UluLgv2AtU*tTukcHY>wZQJPB z?$}PpwrzC2-^|>ZTes?~=bw$WYp=b|u6j<@AtMsEw{ubTbTDJ0W1?r|2B?S&Ycer1 zG6ERsSzyV?M4ZfwT&(QvM2%d`xB(hwrT`T)2LKZ@fSHkz36=~XV(;MTWMyIL0-%31 zq55|apl)MiYGrHX1W>oPv3IjFu>|nCxw#3tIlIz3x$@KhQ>0>M25_-71DIRcm;po- z6t$$}B> ze-p5CGjnqJhnTsOy)8gaOjSr+L0*3qASS|~DgrRFGX=;>{!?z};>`UI+RVh*<6qO! z0$l!Q*%Ar-*X%={m;tBsAkk*yhkQpDcY!PUjg2_R>0YUX4I5Vp551&DunxR}|Q znwkC=ZDea@K?9hLy{C9t+08Ibamosv4vho1vFw*~PNf`h2{nyg_zbIj0dk=3q zW@at`9SajXfQf^J1Hi??<@^88HF0%vGP85}x9tDS@?Zbo>ttr;VP*omwrp?06Jnj- z8dm8iRyK9k97D3Y+noxL-lXE{BGA;w&t8AWz)<#X=G z)5qejresoDG1_9wx|i$C zzs418ol`&-6X;jx#lO_$KYZM>5KKE)rs<8Pl9c{;6>8!N)t3QI4x24RFHWFMrQ z2ss)ut-42t7+rrTzEVW0Uw?+D*$lnCDFr6fzY48^Pag1S0xxIVAmJhg`F(bQSHjAa+-nO@j^w zv$0&rc-C-@6d9Md2Mi5WiP(K*ZC!DL8*t}~JrORxzf^x5tDSdZPfo#?wEwQrVS$1c zMzv(S4XcNd~0E;KXvrZ>Z^uk$6EE*d8 z@DcXK&G&yUMiTagL`~meTEiCqD@y|zZ)EWCVcVd4cBe`#W8%vnB}U*q8ST16L|~z! z30hD|#M*jH&9dd&t5$3lH$OA2o< z|5%S=o|cI3>P3`N6;GEEdc$-Gne^4cuAP7R24Hbgq;$_v_aoyMQ_xy1C%Tp@D*r@l ziw_thHj{no)}sS=$mKMh;7l)HI^h}*jI~{aPnz(Q{;YfjItEKto>CxsY(F;@sU8M} z<1lx5B#!21I9f^Mh=;%O9>Vc6cNIX1&>ls##@@n``)g-7S9v&~DNVpfTvesF2yyN{?v`307Sq`|pkuKDk<{0h zsQt2|SJ2S-0PMnUbWlA}OjOX7{r-QZim%CP-CQ^qHtJju(6F*R5GK2b1NwjDj0kr_ z;6f`FJ#eMSNIbE#LZ^C(rfq*~ZvK3}5pPDwn}Bvh+4&p3esT3|5|+#kcX-Yv5ZG$>2Q*u zeGZ2cs@2~ zUYU17Ty;$#3imw@zPfnc*Z;PvBZ-0<>_%Fq#8QYv&oWT!%-%XO|^ z6n607P?>dYxNwVkV5@j5Mx{sr>c5+|CY_-al)+~B5yL1)2Mc;Ib*+J&T0`@_ zWQd*(NTP<&Ch_N1j<;N!W~`JXz;2V*{YEv8dmA5bIN_;+TqA#t`^+6ile*t6d+Ma1 zc5DX6)FC$B(Ps{{A#f|YObLkz;&#YXAP}A7Q!a3+OSxlVsy5PPY0{P|oW+AwS7b_^$0hnjLuaRL# zx+nZlAbSK{v#1-E%tABf+;>x5IGWuBMAh%9&C_EQ>S}*}O7Oa1tj!J2BFgsXs<~_u zUe97V`uN3uMmgX6yYreQAu5KWB>66Dr(()vw==60u@@>piJ)DK&km9iW=_$^u}x$;*Wfp>ZVa(${CBe5V= zDB$rxT8?$35HnW{)OLkkmA7$6uRd9r0h(_8!4IrXLtFRsnn*`>?isez`?KB6^aGSKa$$^qaP)t{T%v1?K|sGn28X_AO4TVisj~|} zaQOIhVnvg&jUrGmE^# zno{uX6b_p$U6R^vT;{NyVygv0qa6UKJe4I4lgOG)RX`q90LGeE2t21nZ05qgRcz9n zb*X>Ciln3|-?Kba>YfVF-k>Zd2c^Fy|BiCry>}6Oi87b(N9o`T3%9f!B$L?o7b{EA5N9Muu6N=!=_S|u!sX?Z>0U1wp0#>vU%^hVgx9n3cJ8aW^7lP&F3<{! zz^YHT&N29=I%z=hw+b}a`5m2tGyoL#9Q1#qFt<~hC+N^|)q?Iwie+}XWt#=rQZDUiU5^mVnBV%P-t!gIylU@?-;uqXdo zBv3lsUzU*sfrFa2-aG@R8?lmUor-@4-l_X>hyIhH3K}NHmF{ao7M@G8d!6Yj|F_c4 zgb6RanoJfkjil3e(IiE7u4Pi96{Wb8_;W`tu zzea2!##FNUMo_9Y@np?Z)*G6KlPY#RAd3=WT#+1+Ni#TsMjq3wZPzVdBjkTDC%EB- zlS7S^fw!UkMsMrV6^WKaPvTMQK6BFx)EC#|4fKjS;U|=P`+H{_&EcB z;9a@D!!9%DY3o5t;3F<~N0|4!76F|-f>b$NMR|~2i(|WR)L$KRr?j7yR**_f7~WS) z+(OmQu~x&rOfvy&>*Sj+0&(LJoB zke>1_RO?)VaJahGMMz8VqK`3O6^;vF`=7lbk~~GB6nK8ACqCUjPQib)1TL8Dipi?< zUTmSnMzXBoWqN>2*4bZKmz%*P$eWZxd+L5qo_}rmjtaWa@g8YlBNhh^wkwK;9&{?K zNyH%VC+}TOC1S&Jev^RIbiY@@S#+#1MorARsIsh^U}K0Zk&UXG%6l#nA+olsu<!@_@@f7z@&^%f$GZcX)5@t7?Vko)s)Oh75lB>_t-;CP;(XI!~=sG}~W&@2XF-y1K~r@S3^N zwQIS}Bc^WujzWVZAg!@#W>e`Gp+cT_qx`E6r+qGgBV=EK_~w6ux(2SiL-1BbTY!Fk zC1AGa0~7r1)%%3sK!_30sA-N`frpQYh(452P<1vq5d@?3%51w=;ieBCR z(xcwF=?IIGl*ZP8G4$4>0>RUqp_I-^+1~pGar&-INLc9=GpD3#g!(q`?QSFTOOAKu zT~j*9en7BrT}FR~TH?25@pn#Eld&{L42H|Dp~bQ6&w)a!7@j$DUSQC& za)Dwyv-F*z7K|s&eDJ-1ff1Dsww*`2czj4z&E=uBd_sTipXFPFJJWi+Ac}xBYc1tK z5Kb^E@v9Z1r<)s*p=9m@sV}URJn#K8^Umt(oAfG|9JQHVWY|KqDDzc103uSIuM0vI z&+3t}i21Q9(rMZ*K2S0P@~11=^Vf zt?#d(La~2b-nLaP%ZFzvVnHnfk*!vvx0I0%l#4Nb<#OuIjRw0!JbpuZF1ob!rCKnk zq8YL|Uy%$uW0Bg>5QWM(g4fbe2Tquvtd{(sZc-n^68cai0sZ?cPk9B8oWH!cW)Bn^ z8Z~lWPT)D_{5!;8;!^IW>E1pmWE058`7S_231)v=$NW>hU!lUo%&xHM)Xl=EecYPfM#=^R3J}g9c6)lq&7+?}F}ec`aVuJ>o-ijfY7thNteN)KK^Y091tl zg?{$v0r#jD_uv0{&dzdBFrRdsU*`Kw*VO<=kGDX{;vzlEGo$P!s0i(Td?W_;R&o8umT(Is}Ryt0&l zPO&83!DO9j5AH~|gXA0$oFohhjBOh6f$4vCqvm!9E*;3y@1-)@blf4}$iyHqu-Q)V z;}S%y8LCeU6gUM*nEN^L+4cV0j~|RsJ>WXbQrS8k50#h~z{rV!ov4M#|?(qZy=>i$Hj8dItMNN{}%lxC3s=7FkbQ-;-UDZ}TE3%BX2RN1o*)odRM zu5m`eT~&$G4U1VTg*tzwjqh!*+O1QuOs=PtYPO+2D@86c$=?f=fwqF_bW7}jDd?ya ziSHDyU#d>(-^mG8&3w=0=auVsxHr_|qU;c`b*fqAL%fW3_X8%g66Y#T@k{EPVFH) zql8QR;IUP5bXm5@!LNwX7)l|c#Rkjwyr8)_F4!Uyw+cT*K#w`YL|HY9r^qw!%{%V2 z*?7IT5w`m&e=$-ce8#L2_Ib&FVe_E}78^XYBsCK@n~g6JXe#G!8snK)b7A@uQ&sZL z@P3#@N|8&j4EulPDsqTe^GrN=PayLB@RE-|H!(oUe#%x|YNmykn&}Sm?}ApwyG8#- zxe4>KBL?r+Vr&#PU1lc?7M=(}a;tFoo8GQqALJ1ruh-A!(^Hu;2#W7BL7&ccuz8#E zrvv`DXAu5~$xC@QSvNOcJYAX+%S9Kbrv|}vk_>DX9E*Qq5|mt7hlLsKC>{^9zgfYh zC{*!-?gD`%h+U{^M+-h|HV+jo#(DaaTjee-!ocwjb+Nn?8wBop zppuAf&q3dnpV8;H^5pM=1?YxZo@W9zmjR3X}{EIK)V3?>E=IXO^d4|?Zg zaoCU3tLcCDt@npNNy-txbC{V-&-!JPB^|{^6Y}O#@}l=!L(C8(HK9t1Zl^LKxWqhZ z05;PLeuGIQR~D<%mnvBVW4ngM(>nLV1Gm;dZ9HS;kMCaB8y$8cVH7x@KH*{8{g83R zBIfL1$BD6-kUjY;cx4WBK8dEVRIx-|>-=gya%F#BW>g5>HYs%rv$atl!GmO8bpC&S zR=cP^Ga(S`&b;Mqx9yYC(AH+K2O}KSNRpc?#12q0K1$_iL)&ZqOlNmI$y?vjq(O+b z1M%|C2Qgk_G{O&*JcqAmCf97Y&OZ!f&@L(F`ds*x*W`ag2PBS=vj;Kh{>5`IoHUtQ zpNfBG;&Ox?KNyRGbjT>aV_+fWcy=u68ZlTo!-pLf@`L zLlmlJz5)-^iyTO8P>a2cG=P0RlJbX?25~-krxJ7f>WMD)dP&L&VyQ>lcZYAZBsl7c zSJrLK5W1{|nW(M=p55t-7KDZLlJiH2NKk*;B*O-y>pO#!QZNqRW?AHm87y+Z`g`>s{;}i!!^kHZmnI; z&<)eL3sHJ%Tf-W!wjez1hOiKpvx)^I%cDO-PU_E5U!pThNo;sl-8Od-ewd`dvGRYJ zf<0${4k4wWM3z$*$sLd@WD|%br<|*@m*0rep?6C%KKRh@haCJg9kA%R zPfIe2;j@Drc|3F}zv4ZCi8R@Nw$r!}9w~WaOQOV#&f^pKsk~!o?VJjuj&^rc*+N%P zluo3|D&|zgf>IAxe!=rNfS7yRwVHnzp-G|J!ZtQm!7$yu6Z1(Co&LF$Z8=(?Kver; z^JYqp*xduhu{R^v#y*T?;Pa3V(JODLFxMHif+~8u?yI&;p|Spjm7hxYV%9mwqv##y zJz^t}2_T}o;34D&@M{7bBK6L58&bd!SF?e5Ee6R_wxsQdb?!qJQB@Ao=2d@ryD&|H z&sI1;khcT=rrtSz;st1?VoXp_!H&f`KcIXd-t_AT_*Xg(q7Z5C`<`+M?F6+oWqAh$(-+KV*)@H(Y>a>VFR>l$I8i5@ zQo52LeR8KQAg`PDsxd3p3Aumdq$gPMUxcr{8k+%x*@z zGbw87;x^G3CXp zix-{!7Nn%e%C}$EvKvs}p8Za>qHxqRs)Pb`Hq$+^-MduyF)A0KMZ3E}ya+o6v z3ny&%Vn6foekL+|+5D;?BtKk|$I>FYuk<-QzSV9cDQthbTLt~;UXU$eQb{yRS<=N6 zN843@zdqi2BG~4f+`TB>%zA%5NtHgl5p0cKOz5Cu1<@k^(@_B|C2mO zl6u7*4#q9*b(vQ1fz~5FQY@%6AFH*x37^m*y1MZ9pp1|9IX<+%X*fvmqo1HqiDqlT z??1W6*rDm5-KHUU<84hiywJEn$Jk5&&_k`U^(_!V-5=@(X)Ou0K} zDgb}q><#A+8WDqq1Tu@vtMw=K9$@;0$oec^gm?@CJYj1ATnS%*p1=oF{SA#?vz_Kt z+G*-UcM!EQf_)Btvm6&kG$=xLCj*6IJuA`hqq&<_;QIxqoHn;%)>S?!I2*@>LkALM zeu~;_O}4G4#LX)QtxrJ&F1lcE-GE*$|CxVac~mk0N;`{A&)xx=U0>PL%5{QCDA|v+ zPe`{0ZoEK|%N4CZ5~L2SnTVBx_q7C`&$ZrjFu@=_PuzDz)hAPupStj%;1K5&*ZG}? zYp6f&C^leHaOTzhEf_OXeUuQLfEK>>kt3n%RPZ*IlJ)h&QPx$D#x-dr!~1{2-`f2*Juongr;_- ztO-81d|Jg;)&oaaRh3w7)tF+&! zO)f(u0aGh$d1U3NYKT!|`w=(K%+bzsB~#jeWcVu)$^Vs%pR)9C%Xx2qBS{ffMZO%J zVhP{R2u3GzG2_yY{e$bz=oWvy>k-^FB=liam=1Dz&VsogbhQ%j-K3=V%?z|&M}Lfd?> zCTaD_tzoQv78mjK%wL2#G>GKs{Er;2ZuksjMjW_0#e>rn8U& zb~wsee@@orA~~y(qHlN1ji(9N)_lm`=p0)Q2r%CyRPk|ksjxK(?}(xSW5fHQyjcZI z46l}A&em67Iu$VBGJ=0RGu}U2ZQ^=9IM$8-BJg>@eL-K?zQ%NY^zCtJDTJbpq^S&R zDi$-#lFK_3LB-s2PGbt(FhrVps+zz7k2h9K;5sdV?&y!m%Nf|79%@;nu9U2Gj<9Xo zhOi#oaNH*6q|T*r9NQ6P5+2o>M~`?nVl4$NH|P0Yn^ zgY{vnCaYTGuakd6$bew)m)DsN=*+`3e(MP|LTw9A9XTq}@S3K$huJO2G0GBD?`)S) zJ>_!TgI9i#8UzmOeL@gl`h9$~j6erc0`z=Vfo-4bTfic3!?jOYC=9|M;iI;h)zd`@ zAT4fo>J0jF?Q@kB>gfm-QWPAy(CS1Z{f~wzR9Mq7Dnx&iCBCr=j%Ei@NW7*_-9BSu z>#BqK4N6L54twA@CgOguO7sP|U5LJJJmg=KAi1!;WMje~N)AXH!QJ+1c+vhputioj zN!X`aWDcgFfs`5-v8om$o4O#itfuxCG?8x&A;RI+@zN1TGPrxS_U)NF!jI6t*@GXP zXh}Zz5Ey?~C_g)5tyI3ZI4P*|zSHja>W?KHw7OdHCTV94prcGU%i%}Sf6--ZtMZNE zLl?eXmvRX8Uz^2_H z{@AL?NH)3vJ&0``Y&3>ooE6{&I@DvNJwTtCod$mmV{NG(qbiI(KgEe~K}tz2I2H$b zKo!W2tok;m#efq=toH)cD`C1nd>o1BQ+2THPgTR}pNh0JY_&*`nki>ah+#?ljjVhN z#GBLRal(^wWULfMLSB;HxkQUvNfgo~FdVZf`g$;SvMZZwiO4crT*5hw ztOm8k%fK82H)n`k06WCqiV3+unl*`>4}QM_=&YPuhOX z49UeEGR@9zsF%ly+;AqvXBE0=3uhXJtj34z$OXoO>?6sA`H7KHxJiy}r;0FPHPwGc zpMi~QHKHZ~TAn=6NfHnqmi(69I8w8DKKu`$hie#GZe&tMrJKo?!c?<@W%B7U{=8F)|f!zb6yH?A9(YK$oSc`nt&uV13plMs$Zeu(;XDf6l-FOF*>02aP&* zU-ikBBaf8;ZNv=KLk}W|9@Y+bvpKXie|6=50l{S#f_oRQyq@N4NXi?2@7eR#sk?ot z58Xm#@3_Om#2BOQ{7R>i85(#V{(6J6ej`7?Z=F>29?%X=B!5OC^8m;CBGig~OPo-WXAV94-PlH&q*qMsHHF_0P zZUWT^(o1CjwBuo?%?j5{J>pfF$<(WVxJ?t(aXPEQfwtC>M+2yHfQ|#haKes?a1KL# z8vVBVy)}Immt7g6R-lItYK-oC%{C+S^fwXRvg%aTl?UD`MbpWd7Q)FK{W>U1UGG=V z`d<)J<@`>K;xwx>3F;v=b7$lDYqdNqe%Gjr>~I;3)yPMz;No-n5_2b-~QbNCoZzQW&Al87qm5Sl99o@ z)PgKY5Tl-t@QmiJh-Vi>jhs{DA1Uo4r3ioTX0}G@oXVax$n%!HVG1GB91j;|>!nd` zR(8i3%PfyXdi4!Ho$PG!5@7^?vc{PUrbG$&g1b^I0I2Eiqg={74P2l@0?IX)r89iT zjr-kSlR6;jTMR}prrg+kJKqD5)_&B7dbAlP3kGF_bW6_pETmHfwOIF^H#5Zf3A~13 zS@mm(-9K)u!5@f^wG}CtBR{-Gc$4# zO|8^hn`LiKsPs2cFHpIECqQqBU_$fDH3G8J4R zwWWBsFu{ik8AW&+>m7Y8#QN8@;^TV~=%oMmS}n@hdJImj+{RQUk0`~wppV$BQzj8W z;dxag>#53eYei4j9GEM@)Sil9xQiTBPBMos)}sIwq~$2>!=WuaXl+yV&(tLCJpWrYSvh*7PvLV?CxVIXAXIif#x{V?KDw+%atqWR-Xa>>a=FwL!mci;M=`6DlS?@WBR417qLJ0LDRR;y* zm;IrhqN1GRH0GJ%n$mq zz81T>EI7K08;L}E3e2}P|KUMl?%#Q$!gGNY{(PkW+>JN%lMLEa%@9M&#-@-u`{SHG z$?T|Rj^&3E3>>4*6DR^Njy4U{n$Y)6iK}zX#w{092!rLBE=7i3M9tGE={Kq$g!ahe1>A%43|+ZD_I`s^=Cz@NQ4A9d zgrplqcfz&f0WfaTHMw_WDT%4dPF#O9y4jH5ZGzW6BrqSqxgI1YJUG z=0#@uR@;2ppK6XbA*J|ZGd+Z9Hu3qg&f8hLxFL zvA%_60fxg?b8F752MX~7p0?I=e>7$g4oj%+`Z`JCg)2lTEC%NOZlzok=w$_D6r9pOkv{F2&SxuzBT;@xej?1hg|!_ycP{M$Yl?sAuqTRpd7eoqg&(GNEs zoFF!an8wvQEXUq>J?Ik1sYq)owfVvgf=f z>NZRU9}<*`sM~ZYi37uh?j9+5`l!XfqtSc7B(&i5@DRwGpJ$a=-!o^pK2{wR4xiI5 zzt9o_B{Q$4fu`^8P=uwTYGTY!GJ8lR5eCyf%w%*vOloNU~|mXT)u{(j~bXiTlTIo#&I~n2dAN zkRlyFoi`0M&TrhV&{<>e9$iSbLiKwotu{SSh7_MtI1#GvKWq?kT0~xdOmF9Bdo8E7 zN`97|G8a~+KAWc#wnD3#!rMJEu<(E3W?4KTBHiOq+B(`aQ?%N!dv8Pf3Lo(n3Y4SF z1-{>3x3A`>Hyc6sCoQyJbW)B$7~c2IOOA|8!ZhDHeY!<~Q6*Zs{K?}VS`9|^9;5`J z@Ndz%D8V~LoJ?O4^|nxd_E|uTi+bZ-xCt)Id0`4d@cn_ZdjLTX=bkiOjhwzx0hOp;^3GLuG0OPmJ8 zb1>Of_QERdD;nN33;hp!zUoKsJ(<{g4SfJSDjK%%1cj6Ij z?#ympeR-W9VikA@XE@PF?;t}K{gjcjcl*}{+imyXZ?7SE5vBJvr=8tPIrq`ms4U^{ zKz!Ne2s#{eJq{4(a&yP*4^K{45|=@<&vkONECpo-AkE>Lts`hTKBWyRw+*v>;i6PvgO>&%s)1=xdaH?Ne@J zsI}97LxE0SaUJs$Ja45QCw+>vfX?0rgI64v78drb`J2LNZD$ab@J=g!Rj`YJS5D=P zP8s$$vi;IU5uQ^Lk$GY~|MM|d`FriiIv4XSk)x4%wg19_+Smw;O>4Z`RR(QN!tX0& z%MW=&u$SX?=!uF{9o4I_>!47J=7#UXM2JU!H~^9(iWM=*olHO-Sdh=r*@j1D(G@$9 z^5&5&^jXci>St9&8K0ivNHqBYv1@Aj^}0lq0}uXEtL?Gl!jP3~()4U!0U_}oJiAg~ z*U;Zm7)obY&6^Jr9@=dY}e}G(d zTGGU}d+RP`@yxVE0obExQ<=9`3B(OtPm))R)*;f?c(C%JC}F#;VE%bY-_&SU3kDx9 zlnfh%DwLO~{(W>(PjNsM>BiZID3`Z%C}~*5cALRaGzkK-#jRc5dygsp?zJZgLSD)L z2h(@8Czp{X12+RWGBTGS8UYmqIW#agld%RTe{8vPaAw`MJsjH|^GVXNZQHi-Bza=n zwr$(!q|-sib~?7xv7LPHIp?1Ht6TNe{%2yWT4RnmYt>$RQ;E|-0tGk=nPPBFb6u@15_RCe@yKE zi!!kT*?Rr|;{FFA<7)Dc4kGrJ|0u`&pAg7J666UqR|dKMBejKz?LQLzJFW%%PhS*( z<{&q_|Evf8qsKoTHFvPL_4=PJ|0(0&8!~BW$!my-(f#iL{5vgSZ{}bQvbO}Nx&EW2 ziL?3t2L7E^HUa%-dj3o1ztaR@fBApDf{Cj$$P=K)%=oYIVE)(hU(4YCp+rR;Jbf5g zxOo5!Y^*E*7IqF!01q3N-~XX&=H~1Sw0Hft;Q!R}U;p2O1O$2l%@9_X9L)Gatuuav zSNThn%vM9w%}yI@&@HimFHiMc>7j!Lt;~%MF7R*F@e4hWh-QLJ1$NH2e`Rjl$V?J| zNJkTsv80g(OZd-a^b>=>t&rP z1kj~eVvQ?1`=HUb5?2=P?WJf8s4%j1KaqfYbaiO6R$;}Lrb5rVs}K=|Av;J{6nI8f z#Z^I$2N62AmY-~hTHB`ff4wgA9@(-slC(#Mc!v>DJf)GhpJ=HSAd0E)Yl~XE3p4Bo zl#a32_Wr%^Jr6qSaA@|VQTMoW-ha?8^sH6mqadnzjZhzG_qS?bS+8x(_F_7MX?7&< zzoI#8y0?P2Y4HLWDaXP7=txl%g;Zv9O8Zyu*0Rti=WZOrlD*pMf7ntID|jvq*E|L2 zPos#SEQ>}I-hyMI#-+Pf)M^{>cR5dXe1F-U?uM&h=Pd=-ue*18s#$HkZg8ojQd$)0 z!iy}&$qKWVa>P;-SyHhb@2_90-BfxElrB6DYo`KuvHPwl1-B0?Xi z$53rs4s7}NvqgP@DIZH58567LXciVKV zIPvpv4Chy5Lg3%ia8MCp!r{2q{0+8sPOLy)9tWHrVc%lZe_34wEv(ZEV|`oi!1=h6 zI+Fzye-i4BGxI#esiJGF{cO|GSXbl<7Oq8}@8eSJTkfR#pdALC-7v$M5TIw0)>0se z-a979!OQ3%zRI2913z9$_R81eglrayIXM-KZD+eqCfX_GG{(fMt>U;%jEBi%dnTaf zy=V>T)FoZ%f00Gehs}sTf4D!~Z&F#$=pjyI&R8g=F~?BD*X4I;S!7HV7`xa9g0-1s zf;InSCX4OpcD70dp>S4V&B%}a&;ts#^y__Dpn0H6Xy%2Y8noT3kxf&CI*&|#9b!e4 zyR_#JM|J#zK0+Nh0~z!Yj?slkn1t2t)*K(3qr6`Fe<8t9s^J(v)Fr0={2-8F2p5ys z=F!jV8QH2~KzF)~61QmS4`&DPEWXuK3TS%gz(b5(D1t7uHdawOt9L$EtlfCrLi&m0 zh~EXh6?@3>v(-GPk}>#ann6uVyb+jTnx?AlV|B7QiR24wek*w>bwgO4k7&-^>`&o8 z5?S)^e>s{cO%S`+Z4`J*P;mlPleG}P#V><=p?dxPs9IX$*kOd03BTn^NCdEj{K;j@76OTT%f!#;XU=@yhjg ze^A*?&XD46M)@ibdc6gzF~LY9ib=~?I^qG(^2(oY4jX!7d97{taAiNv=@j`8h{)5w zh~Iwq`ZlmnGMVomQc`QC0@M)paywvuRONsA!TzDNmedn{IZJ9OjgcQ;d{Aa1+h8V& zGE!btPgMSGQ`JR{$*@Y~t_*$K-^eGSe;060rF|}7Y{9y>nEa|Zk-z6J2eMm*WT5|L zGuw=Tag1CRLIxj?(CNH18eL~s(c}cZ-EPTq=RANWPz9JPdJy(yqYM0@JgJHl@LV6c z(vah0#LPb}$z_os)U(e*?p^4cq~)w_QdEi!eK!DzFl7Vxv>+hPH*JmNE)_jaf8WJw zq%D3z17R?xKR=bO1p4OhC^!(;Qp6JHe_L)4Z2y`LW^c>3Cfvr-sz8#Ew3Ucg0}eKzpRzz# z$1qYC;7xzsqHc57*X){N`!U0_SR3&>swmhx#F6DMzEg^7KMpa0vI9Klf1J*t&s*i5W>-xXSk3@t*!V~k41JYnP^W(!MDg{GxEBy>OyK!zJVKjbE^%niz@VSmU zGkvZ8?49i0_eAmJLYg2~#HTo*z&K!Yz)8t$M@mk~Iqjg7v$)m3DEA;LP$JQ;B`+l7 z;g&stK~p!<(K&%>-<+PGf23rUtNj@Wfv(u|gl4OJs~OREYb*r*@Q3AOiTCbz7%nAN z8YqSizQIiXk-~A$;XT~YRRq4|qKMlGtR@P->JhHo9RduOcK?{ddEMV^tHXfh6_4LD zw`nZtUF@29H4=5$B>mU~-maTIXFzibv+}eu;&jkJtc>CaGTP}He}X+3G0nd8G`h=l zSbl>+{oF+MZ*hHppW$x)BO{+~Jhr7F%Zp$J;ZoJS+ed%}XS*B$g474A+#IY58u!-| zVow^eEP%FKf#NPImGj}r=oXb1U5u~!0oMVI_n~CFBb<0CZ-i+7WLNxj{~Dx!EKAbW z2|=OvCL(|Ric98~e;4~pqQ=Nwrj0JdF0-&Rg(~h`=ThudqZM|YoB29`^{<(STUTH6 zV1yZuCkPAEJgF0ydl$V>7x4GU5?V`7_Zl-jit$H*3-_fIB5;p~SFrXEDSYcJ(rirD zhLRppcUK65@nN)czDtC4flAPnbXKA8r;#0J;z4AVO%?2Ff2+xJ{EO)#a!1@`pq7|E66)IYT27FCv7X^uHs0z|s0dGd@T7j-cW%=q$b4QQv z_=iA~xq3&if6@={YJ@$YT%ClyM(nsJS_fH!i%utp>B;2lv~K`6j>o~-$fs-MPZDmv zNBrq{dy2Cwe;!YOI#n}A>TsjVWoPtxkpWB~YI41&gki(eax!}UP#|0z#W3O1n3yTt zv%19~vZxl}A9RVi6p*^*JdTH7568IukmXPmj;TGI(Cy#DhimA4eSuwzzbiZR%Mu(i z5d|or|5vwCj?gJC$x8&FayYDR>`&FMtr2*oD zd$&OSiLf`i+0o;h^zTZpH)EnucI9aYcSzmy(-M|fK46jdtAA)o;T;a|F!aaOPW3QX zb0uw+T|n11?%Xb_H2%s^ z+?NR#jII}W^h?thvPj#YvZ818*rU3VS=!AE! zf8$ArHyh&SAiq3{f^=ys$u=kEH&}fjKAq8S71L1?hl2U*SvM82~?G#DT<-~i8hHZSq6J2 z3C|fk%zJwL_9(yg3Ru&&fanHl3+pt&u`mf6+MMe~^@n z{(jN1SpP+sC)YF^@=8+RStiu5oHe|EGTz518i{gl!AyGjRpl}ACVf+>Xc<1~t?1a| zZLej#$Aj_EUgpa#Vl-d|yHd&_b~A*<+9tElMF#4<3%goUS-zyl`zDg1`9h&M0;6{! zY$$MKyCyXAsZQ_;eheG_b$lc;e>%3d9rdVPk7`v9&;N{Cj*-23v^Gb3{%fgOhG%i? z+k85A7^;z;O?ypjjpm#1hv3xwVk)%kJ|433e9BxwE`kk1CkDC+2=M=5k zwz8aE&V|`^WpXSMOmLcZYXY%=nz$c2+>VCSPc9vinPxPlDK&DqI9M3wQ3xl(Ol6PqcA|m#4b+AHJPenPXhGqU@C_&1hr$dvnzP4 zKcY;L?BIQcH+JLYnR8C6ba-HdEgr3uZEHYXFPcu8G?1z~gONtnIF)-T3!6}eRu+pT zZf?$}uUlvkrJXDtI*IxYe@t%Dd+*wcnNBK~{K}jZimcCmqYz|aHhG$2SC33O*zW!Y z^Ak-y*u%1G*Z1=Dt8DD5`zA4spvvZR6s2iD;*RsN%fW0Y*@;wK={b?QQOJH2%yN6& zLebykd>{9leux_zA0*SDjMaJOxDChZ*_@1?aM4}|CSu#N~3>kX0c8e5VUBj zn)%VBTpl<>LAzt!oz9v+p}jYoJ@fBmSAvRXn4p_vR5d!-edVnwTD*dqA^bas zHL>cx7IaYwK+f{&j^&r?8Tw%dR`K?Mb@p`H`d{!EgL{#;sPBI_MZVH5BJ(gbqviiJ z<7bAu-^N-~@uoi5s&bkaOj5cJE7XYBe2K?3(1;DqT^hYP);)o@6TU#WUq& zbRrv9*Gpva()aWRA#%9;xo z@WqyJuG0$iKUlzkqw6r{Y(i~-`GP#lBlBo0Goge>(`wGf#v5KSJxLuCR=#}}-oc!L z9<;pHU3WfVt1+;zLH=2;-((HVp7sdcN|m^ee=d42Fm1-WkNbYVks=G|EW)T}#{h2v z2|m{xN0^;Z);`uvgu62l-y0oGWp-QK@3lWKv4#3ysPZtrIH6^*ZQnaErDC6T^~mJj z>ER$_1MRF$ge;;{qq<#0`3hk4Yzl)bCs{a~QrWGBC!;3nn5Wli2o!saRzulSN{m05 ze{AeSumt?1jnYW%n;~@fd#xZf2x{xS%Ud&Gp}(R-+S^_ON{_ya&pl{ytdot(N>rKR zDYn*=%7>jYr4g&rf;11j0z-l}b=0MP?#wA*TN;He&+pJ$&TWcjNYxZ(kD@6x{Vjtm zBL^`ViyhA+!eN-#!uNZCu?`%!8cx&^e`hf(wA6(+0fh>uRQ+n9P#>j~br+jpoU}{iG-3M|vs$cwdpDhRlH$8EcrIZl_c}3` zQB_d!ORD~)Rc1QG7Cchhew(3M1xzxT%g4Ccewk7PxJ^T>o@B8EUuQ}@JL}zie`>99 zrZ%w?m#Hhvl>&=LVX8Ey&6q>6kfkMW#P`f*Q=*K|F?ZebZ{n$Mn2X-s-Q?@sLU%

(&vBfWb9>C!y@-jQ^BrQdy z1{ADZ*r{Yz5xw3p%>={L~S1if2c&|oVW_{sy~Fk zC;KlXC*T=g!JVPu5pE_c-za^Ft3Ypav6l2JaD=#Q*4w$q;22k=RT;D%f10mMkip?l zQNN*FvK&9J^+pVd6kWdEb zW#hYJ%Ejl+DZV-wu>VLr{ITk|m7r%urS=KnU z@oPi?f)Q`cq|wkI31HyLd2%CgN|j(cM=OXE03J#sj+|r&j2+<~$wujwht#E*uOyRx zfticXthAR8R}z&Z44mk=oNS(6x5lx~N)pi%E8UnV={_3om|8BAb7~ihyO18-+iEi!RtYMZ(TvbY z^hXb!crSS}Ii z7AN-E-e&6d+%9@|rtz?c;7(oAG36TraHAtA{x+-lhxjP2_%^lRt{Wr65LHd)6iZg+ zvmClsFsvOn$}|l!V*>rBG3CMVXRqoXB!Q~jms_<`4h_HhXcz?5ooLF1reUna5x|tF z>Vk`hf6FiE)XH!7(RvEHenv@{pp!nhV6pXl0yBJE)Bc*z-N>dH@PB{x`N+m;_0)H$ z&vsVNSkJ*22Gh&hYlLT#WZvdethPho?TZ>`G0)9)`PYX_-#rpI%)!^J%Jq_&Xrc#1 z&FltFPu9ukv`isw-T4qlZ^Ax+LA5^*gcsO_e^ld0PG!h_&W%9y98_dGfq7^+rA3!g zcNlybo>&7XyTd6XMNRBeYL{~FRj|K+RmZy7MI&|J+H*|a5i6aaXyaUHrZgL{@#>48+%Hsjo&8B=fCUX9Jq~pRckWtHwVpda8JWD9N7I$!dX;|p z3{z#6qxgkorYDHNn(pdAHU4i%%$n ztv*+>mZ}h~)Yhe|Deq>3$t%FuSzE%!4GUDAMWojAOQS+Ng9W`8GEP_Tx=^g{zMTP7p$;$nSK%XMe9O8& z#FaX2J=xCVp9!~|ch51{LG@Za{=f}b_Beg0yMZO9#1U!+GXD$s!MFB;3%x&z?NF@F zFZA;~Xf1)6Gjp1;a-^`QfA+DFTZo2H8>SOHF%X1bTIu!V_KBY>5Oa41l+5j0(hTo$ z_Sa(IjcrYV76IieRN#9zsU;8Wzr1?Lk{~tmhun2BdclkF4{4%XXlesb=MR1Tu1k8 zZ9Yh;>sBVfZeE!L;5aG1#zRDsp|+&P3-hCa*ATmyF<)(RE|uv0IE(CQ=+{a?r8Vqy zB68YONUI-7%11&M&7xrUKE|0MeS_5wzX-=r%hUeiH)WC4o-kV+U0F2?_#IB~BeM3H z4N%>p;d_nqD3HETf69#mpQdeD(d$sq!mZzsUgI$c)}68rnW5e=5?xbp4WPe#)T3J!M;x zdSR#&jS~u4tw8tNJ{K(9(bN)STPI^#jXQk7VVS%~Tq#s!71P{QAkm4H1E()^`zDlP zl2dpaxYDkP4Vl6@iKO+fJtNbtu*YdCrX(K*c!?!iY)cvCKk{2y6Xv7e;QdL46YOe( z29UlP;C0p`fADfeP=$$xda6xq`6CbSBbp>hJvp1j2?afp_={cXf)D>{Q)C*?$qe8c zERsttXT45HmiKWJvJW{<#Le{L>*X`QG*2HgXT{ z+}Wl<2U^>NV z(|t$o`lji;4CX9g-`gs?0&(@FVhytygo`6DX{Bfqlg6IjI>%4yveGH7kwg&Vq31p_Y89H zztPz!e;W@?c4W6*`->&`+~`~p46nC$l{$`!K9Lx!n4kOk?1Ifa;^g*fKPm_#cx{yS zZ3NnDDibUZc3%jVDq}lL@X2DqrP}jvDsY>vZ3@J$>$l!ul;DOLKaBSg&s~oyJ{|g` zk5l#JwAmZ!PtIYl1mlLkRigOKU8qF~44Q~Cf5b3kT?-V(E+zYnbTBAzUu4uOffBW5 zl)3wD&nct_D^De2-1DON2$W6e1iMFw0QxJrs)ZWB!^F~Cq{}~V($I%J1`+t8^BppP0v#SD!VziNws&%C1qZu;2Flq1g zf5SxvS*(xL0z7Q`u08Ktksr;T*1$M|(s@p&U@-NF0JkM=Smh6ve?zV_?aSv7_>(Dg z-Y}}41Ro8N1pRB&(@jowovG6SvCq2tGn=4MMhFApkb>TZdWv_CgUbu$VULe`Pycv1 z0~@jq1oHl+89*OwFfLK#Wilxm2@bAde_F+e-c1}&IFAn8LGPn$kVO_gB*5i{F2KKIZfW8<+5Nbh1Sz4jqgZ+y*BdR_$e6 zkVu51xIW6TCii;AJY=~FP`VeIiZzRH4TD^Z5dG28SAvpK{;0}fQt=^-w5&-`e`iJ7 zjb_)je#ij46yiQ;N}0}ZBe}q(kt!W%a72gh1>C$?py!o*=JUUGbfGF0(xTwdM-KwJ zk&A^tTAQ+RN%3bhknfHK1|uYu$BTwJdt`oB((yHXPqwI6oWSiLd?7M1;l)Xf;!l5G zg=`-=>j|o9oD_R>!&gA76VYQQe|P|BaLBq?k)`FQHPS;L#}bQHCZU`sCyY?^`4noN zyT2=AztJmXsAZc}reT_k1W=zT!GkIdVMfP&>_kZQyjIs>@;o!xXiUPDCfnjBKvibw zQ@tTa`IA?9nbu9;JsHZ5<1(e9(mETj1Rjumez=E{N+jhnr z_t8Ac#I`gv*pG?|iUzQ;dpRH$$D6yhaaFt@RlzW$*VVaf1`NcSdh}yrM6=YkWY228 zLyX{`WPRg4oT%o@y7kLVfAQyV9tW^Z3lmwk1nZGosMN`^EcX0~#R;`Lj;^Ad9YRrE zF)km;sJ=gjSCnP(bZx8s5x!}yFNv<_7y|ZDsl_t8aSlx0Yya-mdOXm?7>0&G-q_(O z%Ofc$^49Y7YsypfX?3Jhl|$bS4yLk;d&n(FCfw|rjsr78>Kd?Oe~#n%_hfVZkJ?m6 zt5}h(A6=#+M%d49E_{&OSi@QEp0(+#mX8X4VZ3i*=ZU=4brmOVxqRI!nCYAG$>pr) z;olxUVoN2X4mYP-OuJO_A%iBTk~@rzMJ{wGR)|(7E~Q)UKl?cv()sFG=OF6}aIoP; zDl*_Kl@EPm1jb%#e=-=e*UfG9;eO?Ya<>OJ33O;2QuBt*fcF=MG4F46hD_e2rTp>1 zn8)~nyx{-1nJRE1EIM(sZW!&h%u>+KWpwe{k#3oYAFgocg~U3j3cwVHPQ*-Lx7~T$ zhb|;E1qWpGWmX2P(Y%>8K3dK06s@^Ej5~UW5xB1N(IpRO*t%?@FPOy@T#+{(O26XWULx zXv^5!y%~aL9l6{>j~nltycgzSn!3+1$2lH;Qm{Q?U|7nkwG`S{AkSYF66IY{-*}^E zc#`uC6XwBpe=g-owlzXqM)9aiUc})_br_lgv;MnYJWqCXDc^$F34xZCvk_$ z9Cf=oBjtv6mWFL~I-yR$e{iAI-Tt;sf^KhC4|_6A>ss4+&CsTQn}V7u@9xWw1Lm8dXO-8(5B?nF0^__H{_n z%-9#wrMBr$bv@&fs>)ahay)z$B-{@@%5i{TE&*N9T3P23(D5I&d|V*fT0A)hE!r|{ z($Xs3$WoE{F`tt6#JkLUuyC03T}9C@Q$6|Yvi=o9kt~sld7Wu4uQ&HWP#sG3l{sfA zJD}2le`37mvPUf4-L&jrh>D1LNeaASQvO{C)r*%!!B-(F?OMy(s|>f_<%fLtBeA9# z-4RpGk{=;VIMXEIojht}0xAgwHC!sznuzIn$s%6mh+yp3m4YsNvP*#&xg1H*yl+== zyPyQHF9v<*fKo3UovOu}qSr2elOpP&H)-jUe_d5wu>lT57uL(A+f~IjpJ@>no72jB zAfH8*vTe^S-0oH2&xu49PAHd?bTG2g59O?g?TVGkivz#=hz%lJ=)Om>nb$ zi1XM%MH1Yj)lRppkK8Dw ze_%!-TW0xJjXgv3W)w=iSH;<;8QA`B(qs&8)Idq__0hdTkxh~TE(P!m@2ZM=fmsEl zt!8Xwx_l9FE<*6klan)N%Sgvc2(&u3|&vBRE%zf6V2gBebhrlTwC!JbjDMuqCCxFv8QLe*jT2 z@P81bdfK%9o*K_|jwTnGE1+i8)*Dev#|I>#RLr?exMTcPrzR%gpycy%?TW81{T42u zHoB9ocB9D9E-UvVj+qEnK<&cgqY&)ia}0?zaRCAzPhr@@#n9cKJyvf3c=$6bf1Ew4 z5ar!QTfyK_myM@|VAveTa*%oW>2JnpnrHg=6*-^{_{GPi> znQjLp$3jV$xW@r@Oo`D#o{r;7*c7XxPD4T)B73_d2rDmVGRhTftlBy~cP%(lsAHL} z#&vK{u!5}Nf}1?GZQHl!(XG*ge^(yfoU(IIE6Fwkgp9M*>%9&iR1^em7cZyq?|3Xi z+P?!OCmNElJi6@?ykX5*?sO64!0PA zFA0-OubZ#+drTMvakZAqCKSp;m-|M&4b7BXNjzPp!}LWsyj?@)S5M?Ji=DexRZp)S zA}y~s(+0U|bK%1Jh~Sh*Y9l!BPsTFbu9(4k*tS)|y6O^f<(yg`XZiF4P zS8d#_T?`WxsQ5BfU#e$Cjv)rfd+cYrTv8Cm;Jfv>n;K_s*)?vMKA(i%6T|-=iqr11 z(zbO=!8uEnTE4T8fGb^(EzR17LsC z>dHY2>UEc@!&6+pe?uUjQ_P>^f*bNOQwB>;?3UgeT?JGRlniW~yPX^OHRj9WfE`8( zK9=cxc=$li8fZmq>M&qE%(LFCOybv+Clh1;b2gEi7u$^)b3$Q-6mQQy*ahfE_S_lv zEG&nNgo^G}79;G37IdMn%i0=b)bs~XJ!^AF`p13*f5j7$fA8iz@C7jr5DwHx~0_}rIN(s0% zS~tcdhVw_tXdr6*JEUvU!M4A|hiw7%P}ow|j$?PKe>IGrv#{!R`*(@yNO`&C9BS&~ zW+AZ>4M#iUfn~7KC<#Gh&XsX}ft7-;Wrf-~aO`HzdK~YA1wj|^i}Ojp@y*j+ zl!~Z28q&l^4v|u?$TpgkA%l7G8`(=schm|)*dG#yBuaY*te(())%DXTWbl;A6Qp_! z@Sm$E$J@&4cqN4*xku`PBHeOvUX-G=CVeO=e<1J2^Y`5Csea~%L_@XP6=zC%e{!%I zC-_??4VG5pAG|W~Ry3oa#?SK=K6%kGmiZy)o$K{((p2t0l4nVNkIs4UlRN*8=KFel zIMy`-8v@nn}>Fa&V{k_m+m7cW=#)|s2dZaB%Q}*RBKNizv>Q!gfJC&~eLfV!# ze}ecxR8jb&PNyEGeQ>CpMG>mx;FJ@>97g;WPc!59OMc`ZKQ7W6WT zj_yN=Z%maTp>oVz5Z`tBXhR7dp=B=~VZz0p9LBA$ymhggr{tgXfCE7cGl}lZWXNhP z59F)*VyEpimtT}@8DuPuV|&bAop>N7e@Q=j>e10a;lbCVIfxN0v#I!YAfypELA2Ly z9N67gM;~>1E{8HF@G0dUadTpWh2AJDQL5MnoU_x;ge+62@i}OIG%L2pF1=*f0cuM z{fxD{P<@3+IEg~41a-^u97`(dTfAPm)Hca4+?y4^fpwlpO@ZfIdmM-fB}RzegVjbX zGDaixyYM-T4Y#KWnFLe&&D1=#SEy#-aBJz;6j&jF(X%a}9%y)q&Me=>S|_f?R%85?8;EW z1vhxyv63{-vWYGD#SsSkHX=~ue|@vyK`Sz6yZBDhuYa;|A@Jw6h5UzI z;_}-R^T!WmeW{n0J<$dmA`(|g5qhi(QS`6!(+DP)nt-=kM0YR@!Sx)OC{l}tzHq^Q zu(RVG{#jZ|MJ{+Nkb^$(?j>zw$PYw`8-I85|} zNgue+%D;NxClF^!*niB@1woN){KqPGRpBdh)Lld^DtEyy=0-#(uP_7f8gwe0e}trN zSXEov`G#Aw3ZQ>}G3wY$O|QiCzELcFd33)Zwt1}09ooNzriRXprQU8o5N%7~@v!{_ zy2gG5XEJsM%C?n6SjT0t38i&=;|Fxyo5oS1{$@_RjP8 zdQQ0woN4>Z3fy#PWoTu@ZumE%$<@xNn^@*245v6&@^C4JfyD6Myb5th$vH(4v_g_O{5QAmW$o<%3=ph7=o zI=0jN%%JILk}kl8-e}y>m9oAJ&?{F{8r`6@T`ShZ^NZtbqB&YrCE|up1sz zbuXvHIm*3;akBk}hR_c&N7YzUj8AkseT>{bMCfXHg#MjxL*9Nh6HR_lDas;lKk=@m zuVUg)5`WcTg>Hj+Xf`<7FPMSF4vT9J$Js4DhO>5?JJAa5yI!`#L8LB~Q?h!%^AO5( zU=|F1h9fS^oSTU4ouCHUv9$EH{EPdPE@}JAE;w~6T!X<-v?a5-~^`fZb7Sy=EZvRD9nL-rtIm2mxgV{rA=jh^dlZYCL#MOeUA9s zK(~BZUGjTtdNrKQT7}BVF~Vu2%YiD8KYwWL9o8Xp8T&8nX4mYtDO7VM$+ct(#5|?n zJ%S=&zhJ#;L`?}SKLwh>j6HG%<$ED;C|$veO;>ix+wK^=u;)2Eo@5QWwFF+!6^wmN z6SI#P!S_?D`tmHBBB{L((T7gp@P&SW) z@wSmHU7|p0sQB)Z&tpX;Zsj*yDVJ$Tx>@#W-$3k^>l^@ady-N(O>vVP;sY#%b>?Ud zU$1XaDbcIPWUcysrH#zd2nYzB_G|j8XGgR$|5`YJ5jVC8w{?8i>|EM{MMJCdvCR@Z zl##)RIIC`s#lDv{!n;K#{R`{L0e@c*RGg@3X{|ti&FfwSfrqTOd!?xQaB85Lg+tux zB(P6uMcIjy6VG~z+gO#=nP#?!I=W~z_u?XxvJ?MU9G{Z#pa|Zc4;u`Td#~><-pd!E z?g;ubIcwwGWIBH!CKx=wF|Ql3K-XJTJ;XQV-XKf`=o52rO=JI}7SdkL@zk;>khS!Vg{<3}6blZ9zA0ZV2mGYwNLmE+`Z;1r)^ zZY!5rih45E^=`pk>W8E6M$9@v)PI(%#@v@jvOS@U z+rjvQn>I65t%4_HYMxZmj)8u;54e8hl5TMfDjtM$pUuQdjP9)ruq=*>3Sr4^D+6H9 zjN4@O7^q3W2xv51M)*fNvKGAc#;jIK?eg8HSp6c3Rn$WQR z{59;3xWaA6uQBo9>wiN;%WlFda%%`R6~0Irjw%nMMT~$i1x>kBo)jU35eIKy*j!a4?N>H zK!d5)oKS^qEzi>FU={sF{0x*+dXvj3RS_Dbx@V+k1n&n>H=u5y$)iK)H)_ zDNKTEy?;0NA-G3vq`|j9!y>|M#qO}w{^%U(UbIc3E7Jx%2LxN%TbW0M1j zYMg;T^Q@$x1|Y-|?cOXQHf`zjs|q}d*&&h;?*}fRH0=|oVQ;KL+jrV*iTS<`6&kl| z3MDSj5}l7+JYRn;vX2KSUR$H}>Ky1wo|9V&%6&&kI)DFgS{5SH)IPJNjmy`!c7--T zM3tx8PqfnpZ|bSJ_xYNW>p(+=E~pS`0F)*h0;VG*&0b;yXT;*Ft~0&paN8H{hu@#6 zO-4`2_82Hzshb`(h5>{%5A0}`IA(JEsBMx#%0fS#c2{fV8DkQGfC&57zENd*B9m5A z5MGm2k$?a5`oMCJfgUYSyt~0+4X(Td=2Ofn*25)(?u+m6Bwk&OA3;C-PcqGQHR->d z?NV+BsD2|X(+`g9lW7RqbY8anrBXesxB*Gk)x@S@bE5O-jvr%#dEhN07xWtNGGSAK znnwG3UzQSWG8yD?Bk!8&xL_;SB~VMtc8HkQ!heQsjKfOkM7R#qs(qyhQ01DQbl<-@ z`<;5S=>JnY4&=;V;pi{3IjG`KlG6%{vu=Wcvt!+qvUsKiG72V&RAVEkrS!~}cNGX; zr^vHA<&pvV!Irg1QT zM}LsLst@d)2tCK$hg}R*T1D6UH#IT)krMWcGU&C24{2S0ut01hic7_8Sic`~KT0>T z45^u#)V!a$sGskoH$TUC2D*f;@jQQU(=9LAx!lTA&h@-q>!(T^|1uI4g$bB&L)rgh z{&hGg4}<%OgDMb&3dwqDBMD+4tBjY6*nh-U*He*6Q|K6HTW2Uokx;QE&nD(d0j}1^ z7wn7MH}=UCbFm}$^dFV4Bi-#BI=AEEZ>oITzF8}BUH6J~m*AmXtd}A9*A?wRvR_ZRT28&vhzAMKh{c;h($e|LLO?vRrj#%@pTCEF>OP7^Yjo{uklZgy; zH9f<5TV~2n#5p^!b7^EZHQ@+|p_~SyKt(l5b)DSaTty&D3z6rYuK<3*z=ro+4##R5 zWy+psNFyg0snu9ZaXJ0|LAiy)t$*%ZK3FyIn}Zu3?{;H)hj>W}Kv5v+q>BG6H1mKH zTP2xzmVGi_F!$^2g42EaGK=Qextp$NrT7HVP7*&kMgjQ&`!5($G-|R&Q{hr!LHP6R=g-LN{$^4jz$GrlV`ov;$4j*4DN^Jk8o*SeIjHt z25mFIaHj)j@k)+2WKo(&=sf;PYA(l`w&3PiPRo^V^WxkmtfL3 zvLZdX;meD^MmtWt8rpJ~$E`u*(%Qj;(s=yUM%8_xb?m#RKH`-Rhx(I_A#1iC=Wydc z4rx$Xm?$(P_Cww*plx{LMyB~&*YLy z_ra8C!KFCos_iTfu0t4*eWJa^=ly>H9Sh?0hn30c8EWx3p6)i4s-T|F7I7WLo@j(;DmvD~u7gkW0Br@I-P{iGKXikwlFu;H?hh-Hs zdKZEdcM?{vaOvU6$o|R(E~u?VCmsOJ#Rq_ZaDO6A+{Po4v#g9&ii(7;HY?v{dZC|4VLu(c^l`Gtk2mT{ zN~gI-VX1OQy-D8!J}*>ORT8FRvR-Rt3__IJ3P^N1FYTqm77w4N7{R)22iQVPk$*cp zCpVQ&@r!6B%~eDrd%rNVJ2p|ft^drPT2@Z!_vHI^+4@620;S|QDlevqtkAy*G)Gz` zbkV8pVZk)jRp!l5CO^m2Jc;S_zdv#u7xk|?fP>T}H{FdDkBxPTB%37_yQ_ofwr2aT zs&MkCCu%*F>v?WL@gZ%#kUsLQ^nZBXd(P>L^UEIWY|X|@l(u+NSU(!&7cIR*iAn%k znZE~iej@Q3h|){*VCp}JQ=%DEkPQ#>nN`>HK>WL6Kq|my6{eCIp$A|WvkNyRI8J+Y z(@(4A=9i>^;zbUF!kehyz9ppNUma%BegloBz~%l7FoycLb|L(v3B>fzvwsL-wzQG( zA+M(ebT_O}8}bWMZ@@@FV4QYjF4Tw~&Ttn3BH*i<2Y|H#b=Pe_(NmMo?nd2Qbv5ka z!76)GySK;E;AnpfxWjkZn?u&V6WbA_982WJ)ByV#nz88NG!=Xzfci&^lP5U881ILA zq2YIk_-()NH^rmIH{R=yIDe%`(r$Qc5G%xX&ij;+<^u!CID{-cKsBHLoiNPl1{ZhR zw0N6yGz`?r$7e`0!};p2zv60oue(AsgG~eKk<0o}R5Gd0$7xyY`o!%Vl!Z@u$ThE6 z%A%{sC9dUh&i>Txsqm2@`A5H=v_Yz}std*FvDqO4dZz#s4z2|^E`Mg8SOn+keJy|= zXjk$Ry9xoZ%Tqu#?LZh;i(p(G3mh>5pqAP$^t|Qf(^0iof!6_FC?;qiv3p>MIdHvLhPh}PTq4>}4W2wR@76CX zq*R>MWpy66r&jey-G8wMg3>#M$2v#MhEo%!3bG2O5^htENxmD z=-CrKYVEp0%~@`h!;X+oz|J`%)+;Tk z%m4sgH}x|eGZvsY+C$ptq9f9n_+;M2*7LB?JJ6a8PGB*-?0=CH>Zzy}_JqO_U`0~J zMiTNm;PZkKZa(A~>v(H7LiI(I8t!qw=nfz{*ZJ?XN+9G9DsPFMsCEHg4)znvPd6mkYRD6Ox6%0tlnGU*$(AI>_k6xyd{Xe9~utf+YEY zp$d1RZZaZaQz$vB6U3LUK_4&5|M&~=%sK4EK-%?tzx3_+w;l>s45s2_5+r>ipL$N1 zfJ3Un^)IWbS@v&sbU`|A8*`cDZzt#-6b*!Hqx(5Cm46U~yC4()(ZwqIyXcCbV!McF zM?@lj0j~+uX*v`A3DH;5=dfEGI`-^`dlIX^C`7&40=5Yvl5A}!GACow zTVtd%R90K-mvJ#Z#IrLG%=yDd#blHAOtn6K%Kvjs_fXNMWnSZPvtT_uqzvTQ5)YL? zi3ptEaDQBy_N*rB(pla&fGj#%^ji=qRYDt&RLOw}KA1YNrz%KrUP@yu39|s!gRbga z*-ffiZkZrGqG{p(P9y_bmM4-+zPbzRQ&=P9TXB-m16s=xbrWZqI58 z6#wHp8Y3$}SVunuGrM*-5{21r9=TE;HWX_G36#Nb}mOh8!%EBEP9drBIc`n+GlmNWwVkKv# zlUb;G<));t3dL@<=><{(o5_RN()tp0n19_Cpjn}(0bt=85#tsgI~3*m376r$7iD2h zNEPHq3sdmE4*lOr%yoNqv)HP6#0WyG!6u|6x58cpa>yXKNL>_!E9)8W9#@>gmp}DJ zAE*n5Ey*@eezP_8T)ic!8KL_zCoQz9Cw1()4-&y>I|mf>#DGt-NNxYRVo}XJf`36~ zuVy|pg$5@9y*6gih9(W4K=9@il*51%BYB;lD>Y+Ye3-R)%Li$HtugGhD=~ky9AIfp zL$%s%MhoT`9QxAnk}|hP=u3!hiW?k+5#GC`Zh9d9X|f2VD~AJTD)fui>)dA52NT|& zim&!k2kR?g0Fnopf`-DYQ_1xPCVw^h9MI*)y1h7E%?HDN)iE>QTXRkjpz0dKbJ!;o zj_VrujPhOZUDB4#F)Sk^VZsg`>mZ$i4v!4>X8?|rY;L+M#TOg;i#X2%SQ9t-r?5(l zT!)N$QQg(NS;M>spC_0T)Yu-A3N3>SdKKwI&EKty_RL_7P)kWf^$(hEQGYXJXY|C{ z0%i}}BwWK%=W*s8Gt5nL+L(5b@ECsC#jrMcde5A|!9~w)hxiLR(IIV266h@G_gMtkb=A z_DHMjmk{sHX+_Xq*bLove1C{swrwD-fZ&wp&%5r8ys3A0Su2PYb&p@#FIS(4RJg78 zt#BxiYoh=y4xTjN7k}`_P0(*G94I|$t-Bi?x@xp*2g7^sF|bJsAHIpegs^sE_q?x$ zst<|*J7hSEWU~zDr9N$@%H{l;!w#e$)}ddxWYGN~r@d zFgBNk8w4N&FfcZks2c>%0W*_f1So&KSnW^SJP`lhzrr8cGlAo`*pa45w9rvK6j(c6 zwuuj+m^NBSx+G=5U;mu#v`w0}qY`?gvBYQJ-S2+BJ3A%5LLMTLASwtv8S*KIr$9;> zxkQBc0uj#5UjY9pvzoz+4=+AJW?7(o6bM8KVJ*cFrQAabOfpba6j81MBo%+6KH;u10HQ6zoD7^>7+n@06Lv;dZMH8q#PPgW^SJZV5e7vaWpzi#<4~)IV|+! z6%z1vQ|fRIt2&b~8|nh>;V%A$LwtuvX`Cil<1vnKjMGHpsm`MG9%p~Jm}FYx;!lca z9~{tb1lEZ!Auk54&!68E`t|kcWv2t75Bi%!C(49Qfp9J$Uzl8mUJJYuw)d8pnOBYZ z5Vvs$A3^LVxQF}r3=i-Sc(}qh_&fe#`M8_Ku`ckaBxaO8K8E-n2ztN|5&V0Cw-`U9 zvkWMk;K|E$q7&fm7qEZ#h!dPT4)HY1bQ0@_!o6h%&z#!dKyHq6{REnM^o)xt)%Xej z!O!>wzt%{H)Z2md-szXat8+&>=bK1}YJq$UOp$mf;JlJ=DyZd~8Sa{Ib?%QU8D}Wf zKpyZF0a=bRLy02*LdUNQm;3@ zd;CIGt~~OdFY$ve{%(X^}?tB-)ZDb#nMl71}vl)RTM@IlN2`X*za5 zE94MbPY%CE4t-NIlJ&yu*mAtE_f+9pbSz%{dwwa>ZQf}YG17UvI z2j8}yNyCKQe=bUH){}w+vy>na03(l4$pgXq_biOzfX9EQxNn0-O5$r25PX+~qhGo( zTLCpXAG-Yr1fC`LI?G3CrZ8bd_5lM#2LEH6xY!!4nOPs90uLTy|}_r)ZKgO07Q*=_E>oV3rs zUR(EajrV_`;hlP$+_PYJ_g7cPKTa>f{a{`2aB*94&soKLz$&qOyE`8opLdTt#`&7i zeb(?@CEg4czUl71`#0ad4twBx_{k2V=pN2p*UFHvLgW%0E(-P$ zxH*3~^33ygi+QriJfZAwx^e*}aPFwTM&*1~#Bl4$ON#85xAkKvvY+U70uOBO9i^lFVG(A<2jo&3?TvpX;k3}%KL_Lka!2t(W<{bdq9Cek9xxEe zx`=~FxgEu)(PLDsIIk?cUBzgwbVZaV2lT)*2m+U;OF46LXmf7jAsWvzeL#%Rk+6_a zYoNZ4IpuQU6w=ZN+yPgGyNYAJv;o-44KH5BB#{g?lMFta$!?%)nY}VvT|X!ENSIpGrx4XXW1qc+qTPV>_G#BW#(tG zM)T@G50BGv%e9JSSDCkgN{ZWH%};7&1&nn+z1R3M*Ym~DBa-pnF?>o!`2;@f&Zjy# z9GU$@%gfY%iSNaU3T19&b98cLVQrV2CIbnV86yN%RjPalWnn|v=&zs@K7)-73uVP7Wy4C1^M7o9^*nt}pXok*#>|TClv#WdW?|0F zN=7nIeg0^neo}Qef2NdjOD!oyX4csbl%Nbq1Hpq zJW|buIv8p^s+tSwk5b+(`%bCgmV2ty5hgeIwIOJN={?p#5z21)SxxSQQXr{-(gv=r zhb~aw2!eKZLeQuRsx~(6!L*1>|(6z1Ht0(9s z>6y2Ya?k<1Oj3Vfpa+Iv(5<i7fiZMz1P4|IOsOHpn3?7zywUeG>pRum~or?t;xrDG;Q`g zNn3mhPE*<4cmd8(wc}^u9919XJS@TmxCocvGE*|7E2Muc=_)BEU4!ee1UKNO+x-8- zb<6F^W2M_}uU3@qxP2H_y6g7si_)^&-)W_L?zPw9Px9j}H8D?DUz$h#Ix2~K^zgY- z%A*%;rO2bNS*0{^))LNIQU^YAqQlS!9GXO@WWdwi&9HpWs{I3vU|r`5Wo~41baG{3 bZ3<;>WN(+}B?Jx(HaRvqF$yImMNdWw2BKMP